Merge "Multisampled depth/stencil attachment test" into vulkan-cts-1.0-dev
authorPyry Haulos <phaulos@google.com>
Thu, 8 Sep 2016 18:18:14 +0000 (14:18 -0400)
committerGerrit Code Review <gerrit@gerrit.khronos.org>
Thu, 8 Sep 2016 18:18:14 +0000 (14:18 -0400)
1  2 
android/cts/master/com.drawelements.deqp.vk.xml
android/cts/master/vk-master.txt
external/vulkancts/modules/vulkan/pipeline/vktPipelineMultisampleTests.cpp
external/vulkancts/mustpass/1.0.1/vk-default.txt

                                                </TestSuite>
                                        </TestSuite>
                                </TestSuite>
 -                              <TestSuite name="offset">
 -                                      <TestSuite name="min_required_offset">
 -                                              <TestSuite name="2d">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 -                                              <TestSuite name="2d_array">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 +                              <TestSuite name="compute">
 +                                      <TestCase name="default_value">
 +                                              <Test name="bool">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="float">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="double">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="basic">
 +                                              <Test name="bool">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="float">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="double">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="builtin">
 +                                              <Test name="default">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="specialized">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="expression">
 +                                              <Test name="spec_const_expression">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array_size">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array_size_expression">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array_size_spec_const_expression">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array_size_length">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array_size_pass_to_function">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestSuite name="composite">
 +                                              <TestCase name="vector">
 +                                                      <Test name="vec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="matrix">
 +                                                      <Test name="mat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="array">
 +                                                      <Test name="float">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="double">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bool">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_float">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_vec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_vec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_vec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_mat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_double">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_dmat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_int">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_ivec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_ivec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_ivec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_uint">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_uvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_uvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_uvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_bool">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_bvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_bvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array_bvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="struct">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="struct">
 +                                                      <Test name="float">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="double">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat2x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat3x4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4x3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="dmat4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bool">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec3">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="bvec4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="array">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="struct">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestCase name="local_size">
 +                                              <Test name="x">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="y">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="z">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="xy">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="xz">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="yz">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="xyz">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                      </TestSuite>
 +                      <TestSuite name="multisample">
 +                              <TestSuite name="raster_samples">
 +                                      <TestCase name="samples_2">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_4">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_8">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_16">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_32">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_64">
 +                                              <Test name="primitive_triangle">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_line">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="primitive_point">
 +                                                      <TestInstance/>
 +                                              </Test>
++                                              <Test name="depth">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="stencil">
++                                                      <TestInstance/>
++                                              </Test>
++                                              <Test name="depth_stencil">
++                                                      <TestInstance/>
++                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestCase name="raster_samples_consistency">
 +                                      <Test name="unique_colors_check">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestSuite name="min_sample_shading">
 +                                      <TestSuite name="min_0_0">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="min_0_25">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="min_0_5">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="min_0_75">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="min_1_0">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                              </TestSuite>
 +                              <TestSuite name="sample_mask">
 +                                      <TestSuite name="mask_all_on">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
                                        </TestSuite>
 -                                      <TestSuite name="implementation_offset">
 -                                              <TestSuite name="2d">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 -                                              <TestSuite name="2d_array">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 +                                      <TestSuite name="mask_all_off">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="mask_one">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="mask_random">
 +                                              <TestCase name="samples_2">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_4">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_8">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_16">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_32">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="samples_64">
 +                                                      <Test name="primitive_triangle">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_line">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="primitive_point">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
                                        </TestSuite>
                                </TestSuite>
 -                              <TestSuite name="offset_dynamic">
 -                                      <TestSuite name="min_required_offset">
 -                                              <TestSuite name="2d">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 -                                              <TestSuite name="2d_array">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 +                              <TestCase name="alpha_to_one">
 +                                      <Test name="samples_2">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="samples_4">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="samples_8">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="samples_16">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="samples_32">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="samples_64">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestSuite name="alpha_to_coverage">
 +                                      <TestCase name="samples_2">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_4">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_8">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_16">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_32">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="samples_64">
 +                                              <Test name="alpha_opaque">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_translucent">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="alpha_invisible">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="sampled_image">
 +                                      <TestSuite name="64x64_1">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="64x64_4">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="79x31_1">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="79x31_4">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                              </TestSuite>
 +                              <TestSuite name="storage_image">
 +                                      <TestSuite name="64x64_1">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="64x64_4">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                      </TestSuite>
 +                                      <TestSuite name="79x31_1">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
                                        </TestSuite>
 -                                      <TestSuite name="implementation_offset">
 -                                              <TestSuite name="2d">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 -                                              <TestSuite name="2d_array">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 +                                      <TestSuite name="79x31_4">
 +                                              <TestCase name="r8g8b8a8_unorm">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32_uint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r16g16_sint">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
 +                                              <TestCase name="r32g32b32a32_sfloat">
 +                                                      <Test name="samples_2">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_4">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_8">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_16">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_32">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="samples_64">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
                                        </TestSuite>
                                </TestSuite>
 -                              <TestSuite name="offsets">
 -                                      <TestSuite name="min_required_offset">
 -                                              <TestSuite name="2d">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 -                                              <TestSuite name="2d_array">
 -                                                      <TestSuite name="rgba8">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8ui">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="rgba8i">
 -                                                              <TestCase name="size_pot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="size_npot">
 -                                                                      <Test name="clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_clamp_to_edge_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_repeat_mirrored_repeat">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="texture_swizzle">
 -                                                                      <Test name="red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_red_green_blue_alpha">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_green_blue_alpha_zero">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_blue_alpha_zero_one">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_alpha_zero_one_red">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_zero_one_red_green">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_one_red_green_blue">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_nearest">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                                      <TestSuite name="depth32f">
 -                                                              <TestSuite name="size_pot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestSuite name="size_npot">
 -                                                                      <TestCase name="compare_less">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                                      <TestCase name="compare_greater">
 -                                                                              <Test name="clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_clamp_to_edge_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_repeat_mirrored_repeat">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                              <Test name="sparse_mirrored_repeat_clamp_to_edge">
 -                                                                                      <TestInstance/>
 -                                                                              </Test>
 -                                                                      </TestCase>
 -                                                              </TestSuite>
 -                                                              <TestCase name="filter_mode">
 -                                                                      <Test name="min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_nearest_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_nearest_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_min_linear_mipmap_linear_mag_linear">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                              <TestCase name="base_level">
 -                                                                      <Test name="level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_1">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                                      <Test name="sparse_level_2">
 -                                                                              <TestInstance/>
 -                                                                      </Test>
 -                                                              </TestCase>
 -                                                      </TestSuite>
 -                                              </TestSuite>
 +                      </TestSuite>
 +                      <TestSuite name="multisample_interpolation">
 +                              <TestSuite name="sample_interpolate_at_single_sample_">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_1">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_1">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="sample_interpolate_at_distinct_values">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="sample_interpolate_at_ignores_centroid">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="sample_interpolate_at_consistency">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="sample_qualifier_distinct_values">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="centroid_interpolate_at_consistency">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="centroid_qualifier_inside_primitive">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="offset_interpolate_at_pixel_center">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                              <TestSuite name="offset_interpolate_at_sample_position">
 +                                      <TestCase name="128_128_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="137_191_1">
 +                                              <Test name="samples_2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_4">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_8">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_16">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="samples_64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                      </TestSuite>
 +                      <TestSuite name="vertex_input">
 +                              <TestCase name="single_attribute">
 +                                      <Test name="float_as_r8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r8_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r8_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r8_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r8_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r8_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r8_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r8g8_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r8g8_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r8g8_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r8g8_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r16_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r16_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r16_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r16_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r16g16_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r16g16_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r16g16_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r16g16_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_unorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_snorm_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r32_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uint_as_r32_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r32_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="int_as_r32_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r32g32_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec2_as_r32g32_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r32g32_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec2_as_r32g32_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r32g32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r32g32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r32g32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec3_as_r32g32b32_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec3_as_r32g32b32_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r32g32b32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r32g32b32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r32g32b32a32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r32g32b32a32_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r16g16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r16g16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r16g16b16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r16g16b16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r16g16b16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r16g16b16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r16g16b16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r16g16b16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r16g16b16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r16g16b16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_uscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_uscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r16g16b16a16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_sscaled_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r16g16b16a16_sscaled_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="float_as_r8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec2_as_r8g8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat2_as_r8g8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_r8g8b8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_r8g8b8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec3_as_b8g8r8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat3_as_b8g8r8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_r8g8b8a8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_r8g8b8a8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vec4_as_b8g8r8a8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_srgb_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="mat4_as_b8g8r8a8_srgb_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="double_as_r64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="double_as_r64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec2_as_r64g64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec2_as_r64g64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat2_as_r64g64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat2_as_r64g64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec3_as_r64g64b64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec3_as_r64g64b64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat3_as_r64g64b64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat3_as_r64g64b64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec4_as_r64g64b64a64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dvec4_as_r64g64b64a64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat4_as_r64g64b64a64_sfloat_rate_vertex">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="dmat4_as_r64g64b64a64_sfloat_rate_instance">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestSuite name="multiple_attributes">
 +                                      <TestSuite name="binding_one_to_one">
 +                                              <TestCase name="attributes">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                              </TestCase>
                                        </TestSuite>
 -                              </TestSuite>
 -                      </TestSuite>
 -                      <TestCase name="builtin_var">
 -                              <Test name="gl_frontfacing">
 -                                      <TestInstance/>
 -                              </Test>
 -                      </TestCase>
 -                      <TestSuite name="builtin">
 -                              <TestSuite name="function">
 -                                      <TestSuite name="common">
 -                                              <TestCase name="abs">
 -                                                      <Test name="float_mediump_vertex">
 +                                      <TestSuite name="binding_one_to_many">
 +                                              <TestCase name="attributes">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="sign">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_mediump_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec4_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="floor">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="trunc">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="round">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="roundeven">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="ceil">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
 +                                                              <TestInstance/>
 +                                                      </Test>
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                              </TestCase>
 +                                              <TestCase name="attributes_sequential">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="fract">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="modf">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="isnan">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="isinf">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="floatbitstoint">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="floatbitstouint">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="frexp">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="ldexp">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="fma">
 -                                                      <Test name="float_mediump_vertex">
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_fragment">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_geometry">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_control">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_tess_eval">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_mediump_compute">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_vertex">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_fragment">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_geometry">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_control">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_tess_eval">
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="float_highp_compute">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_vertex">
 +                                                      <Test name="uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_fragment">
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_geometry">
 +                                                      <Test name="uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_control">
 +                                                      <Test name="uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_tess_eval">
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_mediump_compute">
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_vertex">
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_fragment">
 +                                                      <Test name="float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_geometry">
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_control">
 +                                                      <Test name="float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_tess_eval">
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec2_highp_compute">
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_vertex">
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_fragment">
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_geometry">
 +                                                      <Test name="float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_control">
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_tess_eval">
 +                                                      <Test name="float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_mediump_compute">
 +                                                      <Test name="float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_vertex">
 +                                                      <Test name="float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_fragment">
 +                                                      <Test name="vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_geometry">
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_control">
 +                                                      <Test name="vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_tess_eval">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec3_highp_compute">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_vertex">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_fragment">
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_geometry">
 +                                                      <Test name="vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_control">
 +                                                      <Test name="vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_tess_eval">
 +                                                      <Test name="vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_mediump_compute">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_vertex">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_fragment">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_geometry">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_control">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_tess_eval">
 +                                                      <Test name="vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="vec4_highp_compute">
 +                                                      <Test name="vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="intbitstofloat">
 -                                                      <Test name="int_highp_geometry">
 +                                                      <Test name="vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_control">
 +                                                      <Test name="vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_tess_eval">
 +                                                      <Test name="mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="int_highp_compute">
 +                                              </TestCase>
 +                                      </TestSuite>
 +                              </TestSuite>
 +                      </TestSuite>
 +                      <TestSuite name="input_assembly">
 +                              <TestCase name="primitive_topology">
 +                                      <Test name="point_list">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="line_list">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="line_strip">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="triangle_list">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="triangle_strip">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="triangle_fan">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="line_list_with_adjacency">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="line_strip_with_adjacency">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="triangle_list_with_adjacency">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="triangle_strip_with_adjacency">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestSuite name="primitive_restart">
 +                                      <TestCase name="index_type_uint16">
 +                                              <Test name="line_strip">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_strip">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_fan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="line_strip_with_adjacency">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_strip_with_adjacency">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="index_type_uint32">
 +                                              <Test name="line_strip">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_strip">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_fan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="line_strip_with_adjacency">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="triangle_strip_with_adjacency">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                              </TestSuite>
 +                      </TestSuite>
 +                      <TestSuite name="timestamp">
 +                              <TestCase name="basic_graphics_tests">
 +                                      <Test name="vertex_input_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_input_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_shader_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="fragment_shader_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="fragment_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="early_fragment_tests_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="early_fragment_tests_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="late_fragment_tests_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="late_fragment_tests_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="color_attachment_output_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="color_attachment_output_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="all_graphics_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="all_graphics_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="all_commands_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="all_commands_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="advanced_graphics_tests">
 +                                      <Test name="draw_indirect_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="draw_indirect_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="tessellation_control_shader_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="tessellation_control_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="tessellation_evaluation_shader_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="tessellation_evaluation_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="geometry_shader_stage_in_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="geometry_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="basic_compute_tests">
 +                                      <Test name="compute_shader_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="all_commands_stage_out_of_render_pass">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="transfer_tests">
 +                                      <Test name="transfer_stage_with_copy_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_copy_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_blit_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_copy_buffer_to_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_copy_image_to_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_update_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_fill_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_clear_color_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_clear_depth_stencil_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_resolve_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="transfer_stage_with_copy_query_pool_results_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_copy_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_copy_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_blit_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_copy_buffer_to_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_copy_image_to_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_update_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_fill_buffer_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_clear_color_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_clear_depth_stencil_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_resolve_image_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="host_stage_with_copy_query_pool_results_method">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="misc_tests">
 +                                      <Test name="timestamp_only">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                      </TestSuite>
 +                      <TestCase name="early_fragment">
 +                              <Test name="no_early_fragment_tests_depth">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="no_early_fragment_tests_stencil">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="early_fragment_tests_depth">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="early_fragment_tests_stencil">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="no_early_fragment_tests_depth_no_attachment">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="no_early_fragment_tests_stencil_no_attachment">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="early_fragment_tests_depth_no_attachment">
 +                                      <TestInstance/>
 +                              </Test>
 +                              <Test name="early_fragment_tests_stencil_no_attachment">
 +                                      <TestInstance/>
 +                              </Test>
 +                      </TestCase>
 +                      <TestSuite name="cache">
 +                              <TestCase name="graphics_tests">
 +                                      <Test name="vertex_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_geometry_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="pipeline_from_get_data">
 +                                      <Test name="vertex_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_geometry_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="pipeline_from_incomplete_get_data">
 +                                      <Test name="vertex_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_geometry_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="compute_tests">
 +                                      <Test name="compute_stage">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                              <TestCase name="misc_tests">
 +                                      <Test name="merge_cache_test">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="cache_header_test">
 +                                              <TestInstance/>
 +                                      </Test>
 +                                      <Test name="invalid_size_test">
 +                                              <TestInstance/>
 +                                      </Test>
 +                              </TestCase>
 +                      </TestSuite>
 +              </TestSuite>
 +              <TestSuite name="binding_model">
 +                      <TestSuite name="shader_access">
 +                              <TestSuite name="primary_cmd_buf">
 +                                      <TestSuite name="sampler_mutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="sampler_immutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="combined_image_sampler_mutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="combined_image_sampler_immutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_image">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_texel_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_texel_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_buffer_dynamic">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_buffer_dynamic">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="compute">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                              </TestSuite>
 +                              <TestSuite name="secondary_cmd_buf">
 +                                      <TestSuite name="sampler_mutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="sampler_immutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_discontiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="combined_image_sampler_mutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="combined_image_sampler_immutable">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_image">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="1d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="1d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="2d_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="3d_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_mip">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="cube_array_base_slice">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_texel_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_texel_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_buffer">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="uniform_buffer_dynamic">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                                      <TestSuite name="storage_buffer_dynamic">
 +                                              <TestSuite name="no_access">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_ctrl">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="tess_eval">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="geometry">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                              <TestSuite name="vertex_fragment">
 +                                                      <TestCase name="single_descriptor">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="multiple_contiguous_descriptors">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                                      <TestCase name="descriptor_array">
 +                                                              <Test name="offset_view_zero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_zero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_zero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                              <Test name="offset_view_nonzero_dynamic_nonzero">
 +                                                                      <TestInstance/>
 +                                                              </Test>
 +                                                      </TestCase>
 +                                              </TestSuite>
 +                                      </TestSuite>
 +                              </TestSuite>
 +                      </TestSuite>
 +              </TestSuite>
 +              <TestSuite name="spirv_assembly">
 +                      <TestSuite name="instruction">
 +                              <TestSuite name="compute">
 +                                      <TestCase name="opnop">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opfunord">
 +                                              <Test name="equal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="less">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="lessequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="greater">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="greaterequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="notequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opatomic">
 +                                              <Test name="iadd">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="isub">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="iinc">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="idec">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="load">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="store">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="compex">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opline">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opnoline">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opconstantnull">
 +                                              <Test name="bool">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="float32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vec4float32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vec3bool">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vec2uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="matrix">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="struct">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="pointer">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opconstantcomposite">
 +                                              <Test name="vector">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="matrix">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="struct">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="nested_struct">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opconstantnullcomposite">
 +                                              <Test name="spotcheck">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opspecconstantop">
 +                                              <Test name="iadd">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="isub">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="imul">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sdiv">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="udiv">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="srem">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="smod">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="umod">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="bitwiseand">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="bitwiseor">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="bitwisexor">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="shiftrightlogical">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="shiftrightarithmetic">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="shiftleftlogical">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="slessthan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="ulessthan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sgreaterthan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="ugreaterthan">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="slessthanequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="ulessthanequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sgreaterthanequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="ugreaterthanequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="iequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="logicaland">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="logicalor">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="logicalequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="logicalnotequal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="snegate">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="not">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="logicalnot">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="select">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vector_related">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opsource">
 +                                              <Test name="unknown_source">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="wrong_source">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="normal_filename">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="empty_filename">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="normal_source_code">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="empty_source_code">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="long_source_code">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="utf8_source_code">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="normal_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="empty_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="long_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="utf8_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="multi_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="empty_source_before_sourcecontinued">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opsourceextension">
 +                                              <Test name="empty_extension">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="real_extension">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="fake_extension">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="utf8_extension">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="long_extension">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="decoration_group">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opphi">
 +                                              <Test name="block">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="induction">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="swap">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="loop_control">
 +                                              <Test name="none">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="unroll">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="dont_unroll">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="unroll_dont_unroll">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="function_control">
 +                                              <Test name="none">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="inline">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="dont_inline">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="pure">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="const">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="inline_pure">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="const_dont_inline">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="inline_dont_inline">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="pure_inline_dont_inline">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="selection_control">
 +                                              <Test name="none">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="flatten">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="dont_flatten">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="flatten_dont_flatten">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="block_order">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="multiple_shaders">
 +                                              <Test name="shader1">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="shader2">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="memory_access">
 +                                              <Test name="null">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="none">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="volatile">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="aligned">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="nontemporal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="aligned_nontemporal">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="aligned_volatile">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opcopymemory">
 +                                              <Test name="vector">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="struct">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="float">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opcopyobject">
 +                                              <Test name="spotcheck">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="nocontraction">
 +                                              <Test name="multiplication">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="addition">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="both">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opundef">
 +                                              <Test name="bool">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="float32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vec4float32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="vec2uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="matrix">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="image">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sampler">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="sampledimage">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="array">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="runtimearray">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="struct">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="pointer">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opunreachable">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opquantize">
 +                                              <Test name="infinities">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="propagated_nans">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="flush_to_zero">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="exact">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="rounded">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="opfrem">
 +                                              <Test name="all">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="sconvert">
 +                                              <Test name="int16_to_int32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int16_to_int64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int32_to_int64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int16_to_uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int16_to_uint64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="int32_to_uint64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestCase name="uconvert">
 +                                              <Test name="uint16_to_uint32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint16_to_uint64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint32_to_uint64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint16_to_int32">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint16_to_int64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                              <Test name="uint32_to_int64">
 +                                                      <TestInstance/>
 +                                              </Test>
 +                                      </TestCase>
 +                                      <TestSuite name="opcompositeinsert">
 +                                              <TestCase name="int">
 +                                                      <Test name="vec_2">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_geometry">
 +                                                      <Test name="vec_3">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_control">
 +                                                      <Test name="vec_4">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_tess_eval">
 +                                                      <Test name="array_2">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec2_highp_compute">
 +                                                      <Test name="array_3">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_geometry">
 +                                                      <Test name="array_4">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_control">
 +                                                      <Test name="array_5">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_tess_eval">
 +                                                      <Test name="array_6">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="ivec3_highp_compute">
 +                                                      <Test name="array_7">
                                                                <TestInstance/>
                                                        </Test>
 -                                              </TestCase>
 -                                              <TestCase name="uintbitstofloat">
 -                                                      <Test name="uint_highp_geometry">
 +                                                      <Test name="array_8">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uint_highp_tess_control">
 +                                                      <Test name="array_9">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uint_highp_tess_eval">
 +                                                      <Test name="array_10">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uint_highp_compute">
 +                                                      <Test name="struct_2">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec2_highp_geometry">
 +                                                      <Test name="struct_3">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec2_highp_tess_control">
 +                                                      <Test name="struct_4">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec2_highp_tess_eval">
 +                                                      <Test name="struct_5">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec2_highp_compute">
 +                                                      <Test name="struct_6">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec3_highp_geometry">
 +                                                      <Test name="struct_7">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec3_highp_tess_control">
 +                                                      <Test name="struct_8">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec3_highp_tess_eval">
 +                                                      <Test name="struct_9">
                                                                <TestInstance/>
                                                        </Test>
 -                                                      <Test name="uvec3_highp_compute">
 +                                                      <Test name="struct_10">
                                                                <TestInstance/>
                                                        </Test>
                                                </TestCase>
@@@ -19807,77957 -97025,6 +19807,77975 @@@ dEQP-VK.memory.pipeline_barrier.all_dev
  dEQP-VK.memory.pipeline_barrier.all_device.8192
  dEQP-VK.memory.pipeline_barrier.all_device.65536
  dEQP-VK.memory.pipeline_barrier.all_device.1048576
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.depth.format_features.support_d16_unorm
 +dEQP-VK.pipeline.depth.format_features.support_d24_unorm_or_d32_sfloat
 +dEQP-VK.pipeline.depth.format_features.support_d24_unorm_s8_uint_or_d32_sfloat_s8_uint
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4_unorm_pack8.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4_unorm_pack8.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4b4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4b4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g6b5_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g6b5_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g5b5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g5b5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_unorm_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_unorm_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uint_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uint_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uscaled_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uscaled_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b10g11r11_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b10g11r11_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.e5b9g9r9_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.e5b9g9r9_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b4g4r4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b4g4r4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b5g5r5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b5g5r5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_4
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_16
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_128
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_2_shaders_vert_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_3_shaders_vert_geom_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_5_shaders_vert_tess_geom_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_1_shader_vert_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_partial_1
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_partial_2
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_multiple
 +dEQP-VK.pipeline.push_constant.compute_pipeline.simple_test
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.compute.default_value.bool
 +dEQP-VK.pipeline.spec_constant.compute.default_value.int
 +dEQP-VK.pipeline.spec_constant.compute.default_value.uint
 +dEQP-VK.pipeline.spec_constant.compute.default_value.float
 +dEQP-VK.pipeline.spec_constant.compute.default_value.double
 +dEQP-VK.pipeline.spec_constant.compute.basic.bool
 +dEQP-VK.pipeline.spec_constant.compute.basic.int
 +dEQP-VK.pipeline.spec_constant.compute.basic.uint
 +dEQP-VK.pipeline.spec_constant.compute.basic.float
 +dEQP-VK.pipeline.spec_constant.compute.basic.double
 +dEQP-VK.pipeline.spec_constant.compute.builtin.default
 +dEQP-VK.pipeline.spec_constant.compute.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.compute.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.float
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.double
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.int
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.compute.local_size.x
 +dEQP-VK.pipeline.spec_constant.compute.local_size.y
 +dEQP-VK.pipeline.spec_constant.compute.local_size.z
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xy
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xz
 +dEQP-VK.pipeline.spec_constant.compute.local_size.yz
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xyz
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples_consistency.unique_colors_check
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_2
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_4
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_8
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_16
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_32
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_64
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_invisible
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_single_sample_.128_128_1.samples_1
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_single_sample_.137_191_1.samples_1
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_64
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r8g8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r8g8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r8g8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r8g8b8a8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r8g8b8a8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r16g16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r16g16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r16g16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r16g16b16a16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r16g16b16a16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r16g16b16a16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r32g32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r32g32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r32g32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r32g32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r32g32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec3_as_r32g32b32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec3_as_r32g32b32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r32g32b32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r32g32b32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r32g32b32a32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r32g32b32a32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r32g32b32a32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r32g32b32a32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.double_as_r64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.double_as_r64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec2_as_r64g64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec2_as_r64g64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat2_as_r64g64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat2_as_r64g64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec3_as_r64g64b64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec3_as_r64g64b64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat3_as_r64g64b64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat3_as_r64g64b64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec4_as_r64g64b64a64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec4_as_r64g64b64a64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat4_as_r64g64b64a64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat4_as_r64g64b64a64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.input_assembly.primitive_topology.point_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_list_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_list_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.fragment_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.fragment_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.early_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.early_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.late_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.late_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.color_attachment_output_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.color_attachment_output_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_graphics_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_graphics_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_commands_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_commands_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.draw_indirect_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.draw_indirect_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_control_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_control_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_evaluation_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_evaluation_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.geometry_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.geometry_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_compute_tests.compute_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_compute_tests.all_commands_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_blit_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_buffer_to_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_image_to_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_update_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_fill_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_clear_color_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_clear_depth_stencil_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_resolve_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_query_pool_results_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_blit_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_buffer_to_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_image_to_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_update_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_fill_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_clear_color_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_clear_depth_stencil_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_resolve_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_query_pool_results_method
 +dEQP-VK.pipeline.timestamp.misc_tests.timestamp_only
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_depth
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_stencil
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_depth
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_stencil
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_depth_no_attachment
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_stencil_no_attachment
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_depth_no_attachment
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_stencil_no_attachment
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.compute_tests.compute_stage
 +dEQP-VK.pipeline.cache.misc_tests.merge_cache_test
 +dEQP-VK.pipeline.cache.misc_tests.cache_header_test
 +dEQP-VK.pipeline.cache.misc_tests.invalid_size_test
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.spirv_assembly.instruction.compute.opnop.all
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.equal
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.less
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.lessequal
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.greater
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.greaterequal
 +dEQP-VK.spirv_assembly.instruction.compute.opfunord.notequal
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.iadd
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.isub
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.iinc
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.idec
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.load
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.store
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.compex
 +dEQP-VK.spirv_assembly.instruction.compute.opline.all
 +dEQP-VK.spirv_assembly.instruction.compute.opnoline.all
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.bool
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.sint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.float32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec4float32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec3bool
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec2uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.array
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.pointer
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.vector
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.nested_struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnullcomposite.spotcheck
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.iadd
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.isub
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.imul
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sdiv
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.udiv
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.srem
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.smod
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.umod
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwiseand
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwiseor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwisexor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftrightlogical
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftrightarithmetic
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftleftlogical
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.slessthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ulessthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sgreaterthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ugreaterthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.slessthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ulessthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sgreaterthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ugreaterthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.iequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicaland
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalnotequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.snegate
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.not
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalnot
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.select
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.vector_related
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.unknown_source
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.wrong_source
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_filename
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_filename
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.long_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.utf8_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.long_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.utf8_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.multi_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_source_before_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.empty_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.real_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.fake_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.utf8_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.long_extension
 +dEQP-VK.spirv_assembly.instruction.compute.decoration_group.all
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.block
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.induction
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.swap
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.unroll
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.dont_unroll
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.unroll_dont_unroll
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.pure
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.const
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline_pure
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.const_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.pure_inline_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.flatten
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.dont_flatten
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.flatten_dont_flatten
 +dEQP-VK.spirv_assembly.instruction.compute.block_order.all
 +dEQP-VK.spirv_assembly.instruction.compute.multiple_shaders.shader1
 +dEQP-VK.spirv_assembly.instruction.compute.multiple_shaders.shader2
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.null
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.none
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.volatile
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.nontemporal
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned_nontemporal
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned_volatile
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.vector
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.array
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.float
 +dEQP-VK.spirv_assembly.instruction.compute.opcopyobject.spotcheck
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.multiplication
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.addition
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.both
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.bool
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.float32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.vec4float32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.vec2uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.image
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sampler
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sampledimage
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.array
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.runtimearray
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.pointer
 +dEQP-VK.spirv_assembly.instruction.compute.opunreachable.all
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.infinities
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.propagated_nans
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.flush_to_zero
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.exact
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.rounded
 +dEQP-VK.spirv_assembly.instruction.compute.opfrem.all
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_int32
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int32_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_uint32
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int32_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_uint32
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint32_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_int32
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint32_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x4
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.int.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.int.uninitialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.uint.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.uint.uninitialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.float.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.float.uninitialized
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.module.same_module
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.infinities
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.propagated_nans
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.flush_to_zero
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.exact
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.rounded
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_function
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_switch
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_if
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.after_divergent_if
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_loop
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_frag
 +dEQP-VK.glsl.arrays.constructor.float3_vertex
 +dEQP-VK.glsl.arrays.constructor.float3_fragment
 +dEQP-VK.glsl.arrays.constructor.float4_vertex
 +dEQP-VK.glsl.arrays.constructor.float4_fragment
 +dEQP-VK.glsl.arrays.constructor.int3_vertex
 +dEQP-VK.glsl.arrays.constructor.int3_fragment
 +dEQP-VK.glsl.arrays.constructor.int4_vertex
 +dEQP-VK.glsl.arrays.constructor.int4_fragment
 +dEQP-VK.glsl.arrays.constructor.bool3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool4_vertex
 +dEQP-VK.glsl.arrays.constructor.bool4_fragment
 +dEQP-VK.glsl.arrays.constructor.struct3_vertex
 +dEQP-VK.glsl.arrays.constructor.struct3_fragment
 +dEQP-VK.glsl.arrays.constructor.struct4_vertex
 +dEQP-VK.glsl.arrays.constructor.struct4_fragment
 +dEQP-VK.glsl.arrays.constructor.float_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.float_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.int_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.int_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.float_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.float_mat3_fragment
 +dEQP-VK.glsl.arrays.constructor.int_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.int_mat3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.return.float_vertex
 +dEQP-VK.glsl.arrays.return.float_fragment
 +dEQP-VK.glsl.arrays.return.int_vertex
 +dEQP-VK.glsl.arrays.return.int_fragment
 +dEQP-VK.glsl.arrays.return.bool_vertex
 +dEQP-VK.glsl.arrays.return.bool_fragment
 +dEQP-VK.glsl.arrays.return.float_vec3_vertex
 +dEQP-VK.glsl.arrays.return.float_vec3_fragment
 +dEQP-VK.glsl.arrays.return.struct_vertex
 +dEQP-VK.glsl.arrays.return.struct_fragment
 +dEQP-VK.glsl.arrays.return.int_vec3_vertex
 +dEQP-VK.glsl.arrays.return.int_vec3_fragment
 +dEQP-VK.glsl.arrays.return.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.return.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.return.float_mat3_vertex
 +dEQP-VK.glsl.arrays.return.float_mat3_fragment
 +dEQP-VK.glsl.arrays.return.int_mat3_vertex
 +dEQP-VK.glsl.arrays.return.int_mat3_fragment
 +dEQP-VK.glsl.arrays.return.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.return.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.struct_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.struct_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_struct_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_struct_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_ivec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_ivec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_bvec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_bvec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_size_vertex
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_size_fragment
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_access_vertex
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_access_fragment
 +dEQP-VK.glsl.arrays.declaration.dynamic_expression_array_access_vertex
 +dEQP-VK.glsl.arrays.declaration.dynamic_expression_array_access_fragment
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_explicit_vertex
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_explicit_fragment
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_implicit_vertex
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_implicit_fragment
 +dEQP-VK.glsl.arrays.length.float_vertex
 +dEQP-VK.glsl.arrays.length.float_fragment
 +dEQP-VK.glsl.arrays.length.int_vertex
 +dEQP-VK.glsl.arrays.length.int_fragment
 +dEQP-VK.glsl.arrays.length.bool_vertex
 +dEQP-VK.glsl.arrays.length.bool_fragment
 +dEQP-VK.glsl.arrays.length.struct_vertex
 +dEQP-VK.glsl.arrays.length.struct_fragment
 +dEQP-VK.glsl.conditionals.if.single_statement_vertex
 +dEQP-VK.glsl.conditionals.if.single_statement_fragment
 +dEQP-VK.glsl.conditionals.if.compound_statement_vertex
 +dEQP-VK.glsl.conditionals.if.compound_statement_fragment
 +dEQP-VK.glsl.conditionals.if.sequence_statements_vertex
 +dEQP-VK.glsl.conditionals.if.sequence_statements_fragment
 +dEQP-VK.glsl.conditionals.if.sequence_condition_vertex
 +dEQP-VK.glsl.conditionals.if.sequence_condition_fragment
 +dEQP-VK.glsl.conditionals.if.complex_condition_vertex
 +dEQP-VK.glsl.conditionals.if.complex_condition_fragment
 +dEQP-VK.glsl.conditionals.if.if_else_vertex
 +dEQP-VK.glsl.conditionals.if.if_else_fragment
 +dEQP-VK.glsl.conditionals.if.if_elseif_vertex
 +dEQP-VK.glsl.conditionals.if.if_elseif_fragment
 +dEQP-VK.glsl.conditionals.if.if_elseif_else_vertex
 +dEQP-VK.glsl.conditionals.if.if_elseif_else_fragment
 +dEQP-VK.glsl.conditionals.if.mixed_if_elseif_else_vertex
 +dEQP-VK.glsl.conditionals.if.mixed_if_elseif_else_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.float_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.float_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.int_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.int_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.bool_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.bool_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.cast_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.cast_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_float_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_float_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_vec_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_vec_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_int_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_int_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_ivec_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_ivec_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_mat_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_mat_fragment
 +dEQP-VK.glsl.constant_expressions.operators.bitwise_vertex
 +dEQP-VK.glsl.constant_expressions.operators.bitwise_fragment
 +dEQP-VK.glsl.constant_expressions.operators.logical_vertex
 +dEQP-VK.glsl.constant_expressions.operators.logical_fragment
 +dEQP-VK.glsl.constant_expressions.operators.compare_vertex
 +dEQP-VK.glsl.constant_expressions.operators.compare_fragment
 +dEQP-VK.glsl.constant_expressions.operators.selection_vertex
 +dEQP-VK.glsl.constant_expressions.operators.selection_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.struct_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.struct_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.nested_struct_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.nested_struct_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_size_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_size_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_length_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_length_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_fragment
 +dEQP-VK.glsl.constant_expressions.other.switch_case_vertex
 +dEQP-VK.glsl.constant_expressions.other.switch_case_fragment
 +dEQP-VK.glsl.constant_expressions.other.nested_builtin_funcs_vertex
 +dEQP-VK.glsl.constant_expressions.other.nested_builtin_funcs_fragment
 +dEQP-VK.glsl.constant_expressions.other.complex_vertex
 +dEQP-VK.glsl.constant_expressions.other.complex_fragment
 +dEQP-VK.glsl.constants.float_input_vertex
 +dEQP-VK.glsl.constants.float_input_fragment
 +dEQP-VK.glsl.constants.float_uniform_vertex
 +dEQP-VK.glsl.constants.float_uniform_fragment
 +dEQP-VK.glsl.constants.float_0_vertex
 +dEQP-VK.glsl.constants.float_0_fragment
 +dEQP-VK.glsl.constants.float_1_vertex
 +dEQP-VK.glsl.constants.float_1_fragment
 +dEQP-VK.glsl.constants.float_2_vertex
 +dEQP-VK.glsl.constants.float_2_fragment
 +dEQP-VK.glsl.constants.float_3_vertex
 +dEQP-VK.glsl.constants.float_3_fragment
 +dEQP-VK.glsl.constants.float_4_vertex
 +dEQP-VK.glsl.constants.float_4_fragment
 +dEQP-VK.glsl.constants.float_5_vertex
 +dEQP-VK.glsl.constants.float_5_fragment
 +dEQP-VK.glsl.constants.float_6_vertex
 +dEQP-VK.glsl.constants.float_6_fragment
 +dEQP-VK.glsl.constants.float_7_vertex
 +dEQP-VK.glsl.constants.float_7_fragment
 +dEQP-VK.glsl.constants.float_8_vertex
 +dEQP-VK.glsl.constants.float_8_fragment
 +dEQP-VK.glsl.constants.float_f_suffix_0_vertex
 +dEQP-VK.glsl.constants.float_f_suffix_0_fragment
 +dEQP-VK.glsl.constants.float_f_suffix_1_vertex
 +dEQP-VK.glsl.constants.float_f_suffix_1_fragment
 +dEQP-VK.glsl.constants.int_0_vertex
 +dEQP-VK.glsl.constants.int_0_fragment
 +dEQP-VK.glsl.constants.int_1_vertex
 +dEQP-VK.glsl.constants.int_1_fragment
 +dEQP-VK.glsl.constants.int_2_vertex
 +dEQP-VK.glsl.constants.int_2_fragment
 +dEQP-VK.glsl.constants.int_3_vertex
 +dEQP-VK.glsl.constants.int_3_fragment
 +dEQP-VK.glsl.constants.int_4_vertex
 +dEQP-VK.glsl.constants.int_4_fragment
 +dEQP-VK.glsl.constants.bool_0_vertex
 +dEQP-VK.glsl.constants.bool_0_fragment
 +dEQP-VK.glsl.constants.bool_1_vertex
 +dEQP-VK.glsl.constants.bool_1_fragment
 +dEQP-VK.glsl.constants.const_float_global_vertex
 +dEQP-VK.glsl.constants.const_float_global_fragment
 +dEQP-VK.glsl.constants.const_float_main_vertex
 +dEQP-VK.glsl.constants.const_float_main_fragment
 +dEQP-VK.glsl.constants.const_float_function_vertex
 +dEQP-VK.glsl.constants.const_float_function_fragment
 +dEQP-VK.glsl.constants.const_float_scope_vertex
 +dEQP-VK.glsl.constants.const_float_scope_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_1_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_1_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_2_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_2_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_3_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_3_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_4_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_4_fragment
 +dEQP-VK.glsl.constants.const_float_operations_with_const_vertex
 +dEQP-VK.glsl.constants.const_float_operations_with_const_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_1_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_1_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_2_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_2_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_3_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_3_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_4_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_4_fragment
 +dEQP-VK.glsl.constants.const_float_from_int_vertex
 +dEQP-VK.glsl.constants.const_float_from_int_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec2_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec2_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec3_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec3_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec4_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec4_fragment
 +dEQP-VK.glsl.constants.int_decimal_vertex
 +dEQP-VK.glsl.constants.int_decimal_fragment
 +dEQP-VK.glsl.constants.int_octal_vertex
 +dEQP-VK.glsl.constants.int_octal_fragment
 +dEQP-VK.glsl.constants.int_hexadecimal_0_vertex
 +dEQP-VK.glsl.constants.int_hexadecimal_0_fragment
 +dEQP-VK.glsl.constants.int_hexadecimal_1_vertex
 +dEQP-VK.glsl.constants.int_hexadecimal_1_fragment
 +dEQP-VK.glsl.constants.uint_decimal_0_vertex
 +dEQP-VK.glsl.constants.uint_decimal_0_fragment
 +dEQP-VK.glsl.constants.uint_decimal_1_vertex
 +dEQP-VK.glsl.constants.uint_decimal_1_fragment
 +dEQP-VK.glsl.constants.uint_decimal_2_vertex
 +dEQP-VK.glsl.constants.uint_decimal_2_fragment
 +dEQP-VK.glsl.constants.uint_decimal_3_vertex
 +dEQP-VK.glsl.constants.uint_decimal_3_fragment
 +dEQP-VK.glsl.constants.uint_octal_0_vertex
 +dEQP-VK.glsl.constants.uint_octal_0_fragment
 +dEQP-VK.glsl.constants.uint_octal_1_vertex
 +dEQP-VK.glsl.constants.uint_octal_1_fragment
 +dEQP-VK.glsl.constants.uint_hexadecimal_0_vertex
 +dEQP-VK.glsl.constants.uint_hexadecimal_0_fragment
 +dEQP-VK.glsl.constants.uint_hexadecimal_1_vertex
 +dEQP-VK.glsl.constants.uint_hexadecimal_1_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_vec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_vec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec2_bvec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec2_bvec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.uint_uint_uint_uint_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.uint_uint_uint_uint_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_ivec2_int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_ivec2_int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_ivec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_ivec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_uvec3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_uvec3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_uvec2_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_uvec2_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_float_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_float_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_vec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_vec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_ivec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_ivec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec4_bvec4_bvec4_bvec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec4_bvec4_bvec4_bvec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_ivec3_bvec3_vec4_ivec2_float_vec2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_ivec3_bvec3_vec4_ivec2_float_vec2_to_mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_float_vertex
 +dEQP-VK.glsl.functions.datatypes.float_float_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec2_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec2_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec3_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec3_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec4_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat2_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat2_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat3_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat3_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat4_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.int_int_vertex
 +dEQP-VK.glsl.functions.datatypes.int_int_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec2_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec2_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec3_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec3_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec4_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec4_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uint_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uint_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec2_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec2_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec3_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec3_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec4_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec4_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bool_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bool_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec2_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec2_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec3_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec3_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec4_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2x3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2x3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2x4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2x4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3x2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3x2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3x4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3x4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4x2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4x2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4x3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4x3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.float_struct_fragment
 +dEQP-VK.glsl.functions.datatypes.struct_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.struct_struct_fragment
 +dEQP-VK.glsl.functions.datatypes.struct_nested_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.struct_nested_struct_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_in_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_in_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_in_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_in_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_bool_fragment
 +dEQP-VK.glsl.functions.declarations.basic_vertex
 +dEQP-VK.glsl.functions.declarations.basic_fragment
 +dEQP-VK.glsl.functions.declarations.basic_arg_vertex
 +dEQP-VK.glsl.functions.declarations.basic_arg_fragment
 +dEQP-VK.glsl.functions.declarations.define_after_use_vertex
 +dEQP-VK.glsl.functions.declarations.define_after_use_fragment
 +dEQP-VK.glsl.functions.declarations.double_declare_vertex
 +dEQP-VK.glsl.functions.declarations.double_declare_fragment
 +dEQP-VK.glsl.functions.declarations.declare_after_define_vertex
 +dEQP-VK.glsl.functions.declarations.declare_after_define_fragment
 +dEQP-VK.glsl.functions.declarations.void_vs_no_void_vertex
 +dEQP-VK.glsl.functions.declarations.void_vs_no_void_fragment
 +dEQP-VK.glsl.functions.declarations.in_vs_no_in_vertex
 +dEQP-VK.glsl.functions.declarations.in_vs_no_in_fragment
 +dEQP-VK.glsl.functions.declarations.default_vs_explicit_precision_vertex
 +dEQP-VK.glsl.functions.declarations.default_vs_explicit_precision_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_type_simple_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_type_simple_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_float_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_float_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_int_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_int_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_bool_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_bool_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_basic_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_basic_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_complex_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_complex_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arguments_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arguments_fragment
 +dEQP-VK.glsl.functions.overloading.array_size_vertex
 +dEQP-VK.glsl.functions.overloading.array_size_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_float_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_float_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_float_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_float_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_int_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_int_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_int_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_int_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_bool_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_bool_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_bool_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_bool_fragment
 +dEQP-VK.glsl.functions.array_arguments.test_helpers_vertex
 +dEQP-VK.glsl.functions.array_arguments.test_helpers_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_local_in_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_local_in_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_global_in_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_global_in_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_local_inout_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_local_inout_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_global_inout_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_global_inout_on_call_fragment
 +dEQP-VK.glsl.functions.control_flow.simple_return_vertex
 +dEQP-VK.glsl.functions.control_flow.simple_return_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_if_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_if_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_else_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_else_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_if_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_if_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_break_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_break_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_continue_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_continue_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_nested_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_nested_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_sequence_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_sequence_fragment
 +dEQP-VK.glsl.functions.control_flow.mixed_return_break_continue_vertex
 +dEQP-VK.glsl.functions.control_flow.mixed_return_break_continue_fragment
 +dEQP-VK.glsl.functions.misc.multi_arg_float_vertex
 +dEQP-VK.glsl.functions.misc.multi_arg_float_fragment
 +dEQP-VK.glsl.functions.misc.multi_arg_int_vertex
 +dEQP-VK.glsl.functions.misc.multi_arg_int_fragment
 +dEQP-VK.glsl.functions.misc.argument_eval_order_1_vertex
 +dEQP-VK.glsl.functions.misc.argument_eval_order_1_fragment
 +dEQP-VK.glsl.functions.misc.argument_eval_order_2_vertex
 +dEQP-VK.glsl.functions.misc.argument_eval_order_2_fragment
 +dEQP-VK.glsl.linkage.varying.rules.vertex_declare
 +dEQP-VK.glsl.linkage.varying.rules.both_declare
 +dEQP-VK.glsl.linkage.varying.rules.vertex_declare_fragment_use
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_fragment_declare
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_declare_fragment
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_fragment_use
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_1
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_2
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_3
 +dEQP-VK.glsl.linkage.varying.rules.differing_interpolation_2
 +dEQP-VK.glsl.linkage.varying.rules.differing_name_1
 +dEQP-VK.glsl.linkage.varying.rules.differing_name_2
 +dEQP-VK.glsl.linkage.varying.basic_types.float
 +dEQP-VK.glsl.linkage.varying.basic_types.vec2
 +dEQP-VK.glsl.linkage.varying.basic_types.vec3
 +dEQP-VK.glsl.linkage.varying.basic_types.vec4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2x3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2x4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3x2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3x4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4x2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4x3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4
 +dEQP-VK.glsl.linkage.varying.basic_types.int
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec2
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec3
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec4
 +dEQP-VK.glsl.linkage.varying.basic_types.uint
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec2
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec3
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec4
 +dEQP-VK.glsl.linkage.varying.struct.float
 +dEQP-VK.glsl.linkage.varying.struct.vec2
 +dEQP-VK.glsl.linkage.varying.struct.vec3
 +dEQP-VK.glsl.linkage.varying.struct.vec4
 +dEQP-VK.glsl.linkage.varying.struct.mat2
 +dEQP-VK.glsl.linkage.varying.struct.mat2x3
 +dEQP-VK.glsl.linkage.varying.struct.mat2x4
 +dEQP-VK.glsl.linkage.varying.struct.mat3x2
 +dEQP-VK.glsl.linkage.varying.struct.mat3
 +dEQP-VK.glsl.linkage.varying.struct.mat3x4
 +dEQP-VK.glsl.linkage.varying.struct.mat4x2
 +dEQP-VK.glsl.linkage.varying.struct.mat4x3
 +dEQP-VK.glsl.linkage.varying.struct.mat4
 +dEQP-VK.glsl.linkage.varying.struct.int
 +dEQP-VK.glsl.linkage.varying.struct.ivec2
 +dEQP-VK.glsl.linkage.varying.struct.ivec3
 +dEQP-VK.glsl.linkage.varying.struct.ivec4
 +dEQP-VK.glsl.linkage.varying.struct.uint
 +dEQP-VK.glsl.linkage.varying.struct.uvec2
 +dEQP-VK.glsl.linkage.varying.struct.uvec3
 +dEQP-VK.glsl.linkage.varying.struct.uvec4
 +dEQP-VK.glsl.linkage.varying.struct.float_vec3
 +dEQP-VK.glsl.linkage.varying.struct.float_uvec2_vec3
 +dEQP-VK.glsl.linkage.varying.interpolation.smooth
 +dEQP-VK.glsl.linkage.varying.interpolation.centroid
 +dEQP-VK.glsl.linkage.varying.interpolation.flat
 +dEQP-VK.glsl.linkage.varying.usage.readback_1
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.variable_in_if_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.variable_in_if_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.variable_from_outer_scope_visible_in_initializer_vertex
 +dEQP-VK.glsl.scoping.valid.variable_from_outer_scope_visible_in_initializer_fragment
 +dEQP-VK.glsl.scoping.valid.local_int_variable_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.local_int_variable_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.local_struct_variable_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.local_struct_variable_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_function_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_function_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_function_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_function_fragment
 +dEQP-VK.glsl.scoping.valid.local_variable_in_inner_scope_hides_function_parameter_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_in_inner_scope_hides_function_parameter_fragment
 +dEQP-VK.glsl.scoping.valid.redeclare_function_vertex
 +dEQP-VK.glsl.scoping.valid.redeclare_function_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_bbab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_bbab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_bbab_fragment
 +dEQP-VK.glsl.derivate.dfdx.constant.float
 +dEQP-VK.glsl.derivate.dfdx.constant.vec2
 +dEQP-VK.glsl.derivate.dfdx.constant.vec3
 +dEQP-VK.glsl.derivate.dfdx.constant.vec4
 +dEQP-VK.glsl.derivate.dfdx.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.constant.float
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec2
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec3
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec4
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.float
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec2
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec3
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec4
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.constant.float
 +dEQP-VK.glsl.derivate.dfdy.constant.vec2
 +dEQP-VK.glsl.derivate.dfdy.constant.vec3
 +dEQP-VK.glsl.derivate.dfdy.constant.vec4
 +dEQP-VK.glsl.derivate.dfdy.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.constant.float
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec2
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec3
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec4
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.float
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec2
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec3
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec4
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.constant.float
 +dEQP-VK.glsl.derivate.fwidth.constant.vec2
 +dEQP-VK.glsl.derivate.fwidth.constant.vec3
 +dEQP-VK.glsl.derivate.fwidth.constant.vec4
 +dEQP-VK.glsl.derivate.fwidth.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.constant.float
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec2
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec3
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec4
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.float
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec2
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec3
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec4
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.discard.basic_always
 +dEQP-VK.glsl.discard.basic_never
 +dEQP-VK.glsl.discard.basic_uniform
 +dEQP-VK.glsl.discard.basic_dynamic
 +dEQP-VK.glsl.discard.basic_texture
 +dEQP-VK.glsl.discard.function_always
 +dEQP-VK.glsl.discard.function_never
 +dEQP-VK.glsl.discard.function_uniform
 +dEQP-VK.glsl.discard.function_dynamic
 +dEQP-VK.glsl.discard.function_texture
 +dEQP-VK.glsl.discard.static_loop_always
 +dEQP-VK.glsl.discard.static_loop_never
 +dEQP-VK.glsl.discard.static_loop_uniform
 +dEQP-VK.glsl.discard.static_loop_dynamic
 +dEQP-VK.glsl.discard.static_loop_texture
 +dEQP-VK.glsl.discard.dynamic_loop_always
 +dEQP-VK.glsl.discard.dynamic_loop_never
 +dEQP-VK.glsl.discard.dynamic_loop_uniform
 +dEQP-VK.glsl.discard.dynamic_loop_dynamic
 +dEQP-VK.glsl.discard.dynamic_loop_texture
 +dEQP-VK.glsl.discard.function_static_loop_always
 +dEQP-VK.glsl.discard.function_static_loop_never
 +dEQP-VK.glsl.discard.function_static_loop_uniform
 +dEQP-VK.glsl.discard.function_static_loop_dynamic
 +dEQP-VK.glsl.discard.function_static_loop_texture
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.uniform_array.float_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.not.bool_vertex
 +dEQP-VK.glsl.operator.unary_operator.not.bool_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_and.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_and.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_or.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_or.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_xor.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_xor.bool_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.cross.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.cross.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.cross.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.cross.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_float_vertex
 +dEQP-VK.glsl.operator.selection.mediump_float_fragment
 +dEQP-VK.glsl.operator.selection.highp_float_vertex
 +dEQP-VK.glsl.operator.selection.highp_float_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_int_vertex
 +dEQP-VK.glsl.operator.selection.mediump_int_fragment
 +dEQP-VK.glsl.operator.selection.highp_int_vertex
 +dEQP-VK.glsl.operator.selection.highp_int_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uint_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uint_fragment
 +dEQP-VK.glsl.operator.selection.highp_uint_vertex
 +dEQP-VK.glsl.operator.selection.highp_uint_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.selection.bool_vertex
 +dEQP-VK.glsl.operator.selection.bool_fragment
 +dEQP-VK.glsl.operator.selection.bvec2_vertex
 +dEQP-VK.glsl.operator.selection.bvec2_fragment
 +dEQP-VK.glsl.operator.selection.bvec3_vertex
 +dEQP-VK.glsl.operator.selection.bvec3_fragment
 +dEQP-VK.glsl.operator.selection.bvec4_vertex
 +dEQP-VK.glsl.operator.selection.bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.return.single_return_vertex
 +dEQP-VK.glsl.return.single_return_fragment
 +dEQP-VK.glsl.return.conditional_return_always_vertex
 +dEQP-VK.glsl.return.conditional_return_always_fragment
 +dEQP-VK.glsl.return.conditional_return_never_vertex
 +dEQP-VK.glsl.return.conditional_return_never_fragment
 +dEQP-VK.glsl.return.conditional_return_dynamic_vertex
 +dEQP-VK.glsl.return.conditional_return_dynamic_fragment
 +dEQP-VK.glsl.return.double_return_vertex
 +dEQP-VK.glsl.return.double_return_fragment
 +dEQP-VK.glsl.return.last_statement_in_main_vertex
 +dEQP-VK.glsl.return.last_statement_in_main_fragment
 +dEQP-VK.glsl.return.output_write_always_vertex
 +dEQP-VK.glsl.return.output_write_always_fragment
 +dEQP-VK.glsl.return.output_write_never_vertex
 +dEQP-VK.glsl.return.output_write_never_fragment
 +dEQP-VK.glsl.return.output_write_dynamic_vertex
 +dEQP-VK.glsl.return.output_write_dynamic_fragment
 +dEQP-VK.glsl.return.output_write_in_func_always_vertex
 +dEQP-VK.glsl.return.output_write_in_func_always_fragment
 +dEQP-VK.glsl.return.output_write_in_func_never_vertex
 +dEQP-VK.glsl.return.output_write_in_func_never_fragment
 +dEQP-VK.glsl.return.output_write_in_func_dynamic_vertex
 +dEQP-VK.glsl.return.output_write_in_func_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_always_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_always_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_never_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_never_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_dynamic_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_always_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_always_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_never_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_never_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_dynamic_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_infinite_loop_vertex
 +dEQP-VK.glsl.return.return_in_infinite_loop_fragment
 +dEQP-VK.glsl.struct.local.basic_vertex
 +dEQP-VK.glsl.struct.local.basic_fragment
 +dEQP-VK.glsl.struct.local.nested_vertex
 +dEQP-VK.glsl.struct.local.nested_fragment
 +dEQP-VK.glsl.struct.local.array_member_vertex
 +dEQP-VK.glsl.struct.local.array_member_fragment
 +dEQP-VK.glsl.struct.local.array_member_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.array_member_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.struct_array_vertex
 +dEQP-VK.glsl.struct.local.struct_array_fragment
 +dEQP-VK.glsl.struct.local.struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.nested_struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.nested_struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.parameter_vertex
 +dEQP-VK.glsl.struct.local.parameter_fragment
 +dEQP-VK.glsl.struct.local.parameter_nested_vertex
 +dEQP-VK.glsl.struct.local.parameter_nested_fragment
 +dEQP-VK.glsl.struct.local.return_vertex
 +dEQP-VK.glsl.struct.local.return_fragment
 +dEQP-VK.glsl.struct.local.return_nested_vertex
 +dEQP-VK.glsl.struct.local.return_nested_fragment
 +dEQP-VK.glsl.struct.local.conditional_assignment_vertex
 +dEQP-VK.glsl.struct.local.conditional_assignment_fragment
 +dEQP-VK.glsl.struct.local.loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_conditional_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_conditional_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_dynamic_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_dynamic_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.loop_struct_array_vertex
 +dEQP-VK.glsl.struct.local.loop_struct_array_fragment
 +dEQP-VK.glsl.struct.local.loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_struct_array_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_struct_array_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.basic_equal_vertex
 +dEQP-VK.glsl.struct.local.basic_equal_fragment
 +dEQP-VK.glsl.struct.local.basic_not_equal_vertex
 +dEQP-VK.glsl.struct.local.basic_not_equal_fragment
 +dEQP-VK.glsl.struct.local.nested_equal_vertex
 +dEQP-VK.glsl.struct.local.nested_equal_fragment
 +dEQP-VK.glsl.struct.local.nested_not_equal_vertex
 +dEQP-VK.glsl.struct.local.nested_not_equal_fragment
 +dEQP-VK.glsl.struct.uniform.basic_vertex
 +dEQP-VK.glsl.struct.uniform.basic_fragment
 +dEQP-VK.glsl.struct.uniform.nested_vertex
 +dEQP-VK.glsl.struct.uniform.nested_fragment
 +dEQP-VK.glsl.struct.uniform.array_member_vertex
 +dEQP-VK.glsl.struct.uniform.array_member_fragment
 +dEQP-VK.glsl.struct.uniform.array_member_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.array_member_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.loop_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.loop_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.equal_vertex
 +dEQP-VK.glsl.struct.uniform.equal_fragment
 +dEQP-VK.glsl.struct.uniform.not_equal_vertex
 +dEQP-VK.glsl.struct.uniform.not_equal_fragment
 +dEQP-VK.glsl.switch.basic_static_vertex
 +dEQP-VK.glsl.switch.basic_static_fragment
 +dEQP-VK.glsl.switch.basic_uniform_vertex
 +dEQP-VK.glsl.switch.basic_uniform_fragment
 +dEQP-VK.glsl.switch.basic_dynamic_vertex
 +dEQP-VK.glsl.switch.basic_dynamic_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_static_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_static_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_uniform_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_uniform_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_dynamic_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_dynamic_fragment
 +dEQP-VK.glsl.switch.default_label_static_vertex
 +dEQP-VK.glsl.switch.default_label_static_fragment
 +dEQP-VK.glsl.switch.default_label_uniform_vertex
 +dEQP-VK.glsl.switch.default_label_uniform_fragment
 +dEQP-VK.glsl.switch.default_label_dynamic_vertex
 +dEQP-VK.glsl.switch.default_label_dynamic_fragment
 +dEQP-VK.glsl.switch.default_not_last_static_vertex
 +dEQP-VK.glsl.switch.default_not_last_static_fragment
 +dEQP-VK.glsl.switch.default_not_last_uniform_vertex
 +dEQP-VK.glsl.switch.default_not_last_uniform_fragment
 +dEQP-VK.glsl.switch.default_not_last_dynamic_vertex
 +dEQP-VK.glsl.switch.default_not_last_dynamic_fragment
 +dEQP-VK.glsl.switch.no_default_label_static_vertex
 +dEQP-VK.glsl.switch.no_default_label_static_fragment
 +dEQP-VK.glsl.switch.no_default_label_uniform_vertex
 +dEQP-VK.glsl.switch.no_default_label_uniform_fragment
 +dEQP-VK.glsl.switch.no_default_label_dynamic_vertex
 +dEQP-VK.glsl.switch.no_default_label_dynamic_fragment
 +dEQP-VK.glsl.switch.fall_through_static_vertex
 +dEQP-VK.glsl.switch.fall_through_static_fragment
 +dEQP-VK.glsl.switch.fall_through_uniform_vertex
 +dEQP-VK.glsl.switch.fall_through_uniform_fragment
 +dEQP-VK.glsl.switch.fall_through_dynamic_vertex
 +dEQP-VK.glsl.switch.fall_through_dynamic_fragment
 +dEQP-VK.glsl.switch.fall_through_default_static_vertex
 +dEQP-VK.glsl.switch.fall_through_default_static_fragment
 +dEQP-VK.glsl.switch.fall_through_default_uniform_vertex
 +dEQP-VK.glsl.switch.fall_through_default_uniform_fragment
 +dEQP-VK.glsl.switch.fall_through_default_dynamic_vertex
 +dEQP-VK.glsl.switch.fall_through_default_dynamic_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_static_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_static_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_uniform_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_uniform_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_dynamic_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_dynamic_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_static_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_static_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_uniform_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_uniform_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_dynamic_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_dynamic_fragment
 +dEQP-VK.glsl.switch.scope_static_vertex
 +dEQP-VK.glsl.switch.scope_static_fragment
 +dEQP-VK.glsl.switch.scope_uniform_vertex
 +dEQP-VK.glsl.switch.scope_uniform_fragment
 +dEQP-VK.glsl.switch.scope_dynamic_vertex
 +dEQP-VK.glsl.switch.scope_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_if_static_vertex
 +dEQP-VK.glsl.switch.switch_in_if_static_fragment
 +dEQP-VK.glsl.switch.switch_in_if_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_if_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_if_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_if_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.if_in_switch_static_vertex
 +dEQP-VK.glsl.switch.if_in_switch_static_fragment
 +dEQP-VK.glsl.switch.if_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.if_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.if_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.if_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_static_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_static_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_dynamic_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetchoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dms_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dms_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dms_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dms_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dmsarray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dmsarray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dmsarray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dmsarray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.builtin_var.gl_frontfacing
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packhalf2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packhalf2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackhalf2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackhalf2x16_compute
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.modf.mediump_compute
 +dEQP-VK.glsl.builtin.precision.modf.highp_compute
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cross.mediump_compute
 +dEQP-VK.glsl.builtin.precision.cross.highp_compute
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.reflect.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.reflect.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.determinant.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.determinant.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.inverse.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec4
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_compute
 +dEQP-VK.renderpass.simple.color
 +dEQP-VK.renderpass.simple.depth
 +dEQP-VK.renderpass.simple.stencil
 +dEQP-VK.renderpass.simple.depth_stencil
 +dEQP-VK.renderpass.simple.color_depth
 +dEQP-VK.renderpass.simple.color_stencil
 +dEQP-VK.renderpass.simple.color_depth_stencil
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.clear_draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.clear_draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.d16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.d16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.load.clear
 +dEQP-VK.renderpass.formats.d16_unorm.load.draw
 +dEQP-VK.renderpass.formats.d16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.attachment.1.0
 +dEQP-VK.renderpass.attachment.1.1
 +dEQP-VK.renderpass.attachment.1.2
 +dEQP-VK.renderpass.attachment.1.3
 +dEQP-VK.renderpass.attachment.1.4
 +dEQP-VK.renderpass.attachment.1.5
 +dEQP-VK.renderpass.attachment.1.6
 +dEQP-VK.renderpass.attachment.1.7
 +dEQP-VK.renderpass.attachment.1.8
 +dEQP-VK.renderpass.attachment.1.9
 +dEQP-VK.renderpass.attachment.1.10
 +dEQP-VK.renderpass.attachment.1.11
 +dEQP-VK.renderpass.attachment.1.12
 +dEQP-VK.renderpass.attachment.1.13
 +dEQP-VK.renderpass.attachment.1.14
 +dEQP-VK.renderpass.attachment.1.15
 +dEQP-VK.renderpass.attachment.1.16
 +dEQP-VK.renderpass.attachment.1.17
 +dEQP-VK.renderpass.attachment.1.18
 +dEQP-VK.renderpass.attachment.1.19
 +dEQP-VK.renderpass.attachment.1.20
 +dEQP-VK.renderpass.attachment.1.21
 +dEQP-VK.renderpass.attachment.1.22
 +dEQP-VK.renderpass.attachment.1.23
 +dEQP-VK.renderpass.attachment.1.24
 +dEQP-VK.renderpass.attachment.1.25
 +dEQP-VK.renderpass.attachment.1.26
 +dEQP-VK.renderpass.attachment.1.27
 +dEQP-VK.renderpass.attachment.1.28
 +dEQP-VK.renderpass.attachment.1.29
 +dEQP-VK.renderpass.attachment.1.30
 +dEQP-VK.renderpass.attachment.1.31
 +dEQP-VK.renderpass.attachment.1.32
 +dEQP-VK.renderpass.attachment.1.33
 +dEQP-VK.renderpass.attachment.1.34
 +dEQP-VK.renderpass.attachment.1.35
 +dEQP-VK.renderpass.attachment.1.36
 +dEQP-VK.renderpass.attachment.1.37
 +dEQP-VK.renderpass.attachment.1.38
 +dEQP-VK.renderpass.attachment.1.39
 +dEQP-VK.renderpass.attachment.1.40
 +dEQP-VK.renderpass.attachment.1.41
 +dEQP-VK.renderpass.attachment.1.42
 +dEQP-VK.renderpass.attachment.1.43
 +dEQP-VK.renderpass.attachment.1.44
 +dEQP-VK.renderpass.attachment.1.45
 +dEQP-VK.renderpass.attachment.1.46
 +dEQP-VK.renderpass.attachment.1.47
 +dEQP-VK.renderpass.attachment.1.48
 +dEQP-VK.renderpass.attachment.1.49
 +dEQP-VK.renderpass.attachment.1.50
 +dEQP-VK.renderpass.attachment.1.51
 +dEQP-VK.renderpass.attachment.1.52
 +dEQP-VK.renderpass.attachment.1.53
 +dEQP-VK.renderpass.attachment.1.54
 +dEQP-VK.renderpass.attachment.1.55
 +dEQP-VK.renderpass.attachment.1.56
 +dEQP-VK.renderpass.attachment.1.57
 +dEQP-VK.renderpass.attachment.1.58
 +dEQP-VK.renderpass.attachment.1.59
 +dEQP-VK.renderpass.attachment.1.60
 +dEQP-VK.renderpass.attachment.1.61
 +dEQP-VK.renderpass.attachment.1.62
 +dEQP-VK.renderpass.attachment.1.63
 +dEQP-VK.renderpass.attachment.1.64
 +dEQP-VK.renderpass.attachment.1.65
 +dEQP-VK.renderpass.attachment.1.66
 +dEQP-VK.renderpass.attachment.1.67
 +dEQP-VK.renderpass.attachment.1.68
 +dEQP-VK.renderpass.attachment.1.69
 +dEQP-VK.renderpass.attachment.1.70
 +dEQP-VK.renderpass.attachment.1.71
 +dEQP-VK.renderpass.attachment.1.72
 +dEQP-VK.renderpass.attachment.1.73
 +dEQP-VK.renderpass.attachment.1.74
 +dEQP-VK.renderpass.attachment.1.75
 +dEQP-VK.renderpass.attachment.1.76
 +dEQP-VK.renderpass.attachment.1.77
 +dEQP-VK.renderpass.attachment.1.78
 +dEQP-VK.renderpass.attachment.1.79
 +dEQP-VK.renderpass.attachment.1.80
 +dEQP-VK.renderpass.attachment.1.81
 +dEQP-VK.renderpass.attachment.1.82
 +dEQP-VK.renderpass.attachment.1.83
 +dEQP-VK.renderpass.attachment.1.84
 +dEQP-VK.renderpass.attachment.1.85
 +dEQP-VK.renderpass.attachment.1.86
 +dEQP-VK.renderpass.attachment.1.87
 +dEQP-VK.renderpass.attachment.1.88
 +dEQP-VK.renderpass.attachment.1.89
 +dEQP-VK.renderpass.attachment.1.90
 +dEQP-VK.renderpass.attachment.1.91
 +dEQP-VK.renderpass.attachment.1.92
 +dEQP-VK.renderpass.attachment.1.93
 +dEQP-VK.renderpass.attachment.1.94
 +dEQP-VK.renderpass.attachment.1.95
 +dEQP-VK.renderpass.attachment.1.96
 +dEQP-VK.renderpass.attachment.1.97
 +dEQP-VK.renderpass.attachment.1.98
 +dEQP-VK.renderpass.attachment.1.99
 +dEQP-VK.renderpass.attachment.3.200
 +dEQP-VK.renderpass.attachment.3.201
 +dEQP-VK.renderpass.attachment.3.202
 +dEQP-VK.renderpass.attachment.3.203
 +dEQP-VK.renderpass.attachment.3.204
 +dEQP-VK.renderpass.attachment.3.205
 +dEQP-VK.renderpass.attachment.3.206
 +dEQP-VK.renderpass.attachment.3.207
 +dEQP-VK.renderpass.attachment.3.208
 +dEQP-VK.renderpass.attachment.3.209
 +dEQP-VK.renderpass.attachment.3.210
 +dEQP-VK.renderpass.attachment.3.211
 +dEQP-VK.renderpass.attachment.3.212
 +dEQP-VK.renderpass.attachment.3.213
 +dEQP-VK.renderpass.attachment.3.214
 +dEQP-VK.renderpass.attachment.3.215
 +dEQP-VK.renderpass.attachment.3.216
 +dEQP-VK.renderpass.attachment.3.217
 +dEQP-VK.renderpass.attachment.3.218
 +dEQP-VK.renderpass.attachment.3.219
 +dEQP-VK.renderpass.attachment.3.220
 +dEQP-VK.renderpass.attachment.3.221
 +dEQP-VK.renderpass.attachment.3.222
 +dEQP-VK.renderpass.attachment.3.223
 +dEQP-VK.renderpass.attachment.3.224
 +dEQP-VK.renderpass.attachment.3.225
 +dEQP-VK.renderpass.attachment.3.226
 +dEQP-VK.renderpass.attachment.3.227
 +dEQP-VK.renderpass.attachment.3.228
 +dEQP-VK.renderpass.attachment.3.229
 +dEQP-VK.renderpass.attachment.3.230
 +dEQP-VK.renderpass.attachment.3.231
 +dEQP-VK.renderpass.attachment.3.232
 +dEQP-VK.renderpass.attachment.3.233
 +dEQP-VK.renderpass.attachment.3.234
 +dEQP-VK.renderpass.attachment.3.235
 +dEQP-VK.renderpass.attachment.3.236
 +dEQP-VK.renderpass.attachment.3.237
 +dEQP-VK.renderpass.attachment.3.238
 +dEQP-VK.renderpass.attachment.3.239
 +dEQP-VK.renderpass.attachment.3.240
 +dEQP-VK.renderpass.attachment.3.241
 +dEQP-VK.renderpass.attachment.3.242
 +dEQP-VK.renderpass.attachment.3.243
 +dEQP-VK.renderpass.attachment.3.244
 +dEQP-VK.renderpass.attachment.3.245
 +dEQP-VK.renderpass.attachment.3.246
 +dEQP-VK.renderpass.attachment.3.247
 +dEQP-VK.renderpass.attachment.3.248
 +dEQP-VK.renderpass.attachment.3.249
 +dEQP-VK.renderpass.attachment.3.250
 +dEQP-VK.renderpass.attachment.3.251
 +dEQP-VK.renderpass.attachment.3.252
 +dEQP-VK.renderpass.attachment.3.253
 +dEQP-VK.renderpass.attachment.3.254
 +dEQP-VK.renderpass.attachment.3.255
 +dEQP-VK.renderpass.attachment.3.256
 +dEQP-VK.renderpass.attachment.3.257
 +dEQP-VK.renderpass.attachment.3.258
 +dEQP-VK.renderpass.attachment.3.259
 +dEQP-VK.renderpass.attachment.3.260
 +dEQP-VK.renderpass.attachment.3.261
 +dEQP-VK.renderpass.attachment.3.262
 +dEQP-VK.renderpass.attachment.3.263
 +dEQP-VK.renderpass.attachment.3.264
 +dEQP-VK.renderpass.attachment.3.265
 +dEQP-VK.renderpass.attachment.3.266
 +dEQP-VK.renderpass.attachment.3.267
 +dEQP-VK.renderpass.attachment.3.268
 +dEQP-VK.renderpass.attachment.3.269
 +dEQP-VK.renderpass.attachment.3.270
 +dEQP-VK.renderpass.attachment.3.271
 +dEQP-VK.renderpass.attachment.3.272
 +dEQP-VK.renderpass.attachment.3.273
 +dEQP-VK.renderpass.attachment.3.274
 +dEQP-VK.renderpass.attachment.3.275
 +dEQP-VK.renderpass.attachment.3.276
 +dEQP-VK.renderpass.attachment.3.277
 +dEQP-VK.renderpass.attachment.3.278
 +dEQP-VK.renderpass.attachment.3.279
 +dEQP-VK.renderpass.attachment.3.280
 +dEQP-VK.renderpass.attachment.3.281
 +dEQP-VK.renderpass.attachment.3.282
 +dEQP-VK.renderpass.attachment.3.283
 +dEQP-VK.renderpass.attachment.3.284
 +dEQP-VK.renderpass.attachment.3.285
 +dEQP-VK.renderpass.attachment.3.286
 +dEQP-VK.renderpass.attachment.3.287
 +dEQP-VK.renderpass.attachment.3.288
 +dEQP-VK.renderpass.attachment.3.289
 +dEQP-VK.renderpass.attachment.3.290
 +dEQP-VK.renderpass.attachment.3.291
 +dEQP-VK.renderpass.attachment.3.292
 +dEQP-VK.renderpass.attachment.3.293
 +dEQP-VK.renderpass.attachment.3.294
 +dEQP-VK.renderpass.attachment.3.295
 +dEQP-VK.renderpass.attachment.3.296
 +dEQP-VK.renderpass.attachment.3.297
 +dEQP-VK.renderpass.attachment.3.298
 +dEQP-VK.renderpass.attachment.3.299
 +dEQP-VK.renderpass.attachment.3.300
 +dEQP-VK.renderpass.attachment.3.301
 +dEQP-VK.renderpass.attachment.3.302
 +dEQP-VK.renderpass.attachment.3.303
 +dEQP-VK.renderpass.attachment.3.304
 +dEQP-VK.renderpass.attachment.3.305
 +dEQP-VK.renderpass.attachment.3.306
 +dEQP-VK.renderpass.attachment.3.307
 +dEQP-VK.renderpass.attachment.3.308
 +dEQP-VK.renderpass.attachment.3.309
 +dEQP-VK.renderpass.attachment.3.310
 +dEQP-VK.renderpass.attachment.3.311
 +dEQP-VK.renderpass.attachment.3.312
 +dEQP-VK.renderpass.attachment.3.313
 +dEQP-VK.renderpass.attachment.3.314
 +dEQP-VK.renderpass.attachment.3.315
 +dEQP-VK.renderpass.attachment.3.316
 +dEQP-VK.renderpass.attachment.3.317
 +dEQP-VK.renderpass.attachment.3.318
 +dEQP-VK.renderpass.attachment.3.319
 +dEQP-VK.renderpass.attachment.3.320
 +dEQP-VK.renderpass.attachment.3.321
 +dEQP-VK.renderpass.attachment.3.322
 +dEQP-VK.renderpass.attachment.3.323
 +dEQP-VK.renderpass.attachment.3.324
 +dEQP-VK.renderpass.attachment.3.325
 +dEQP-VK.renderpass.attachment.3.326
 +dEQP-VK.renderpass.attachment.3.327
 +dEQP-VK.renderpass.attachment.3.328
 +dEQP-VK.renderpass.attachment.3.329
 +dEQP-VK.renderpass.attachment.3.330
 +dEQP-VK.renderpass.attachment.3.331
 +dEQP-VK.renderpass.attachment.3.332
 +dEQP-VK.renderpass.attachment.3.333
 +dEQP-VK.renderpass.attachment.3.334
 +dEQP-VK.renderpass.attachment.3.335
 +dEQP-VK.renderpass.attachment.3.336
 +dEQP-VK.renderpass.attachment.3.337
 +dEQP-VK.renderpass.attachment.3.338
 +dEQP-VK.renderpass.attachment.3.339
 +dEQP-VK.renderpass.attachment.3.340
 +dEQP-VK.renderpass.attachment.3.341
 +dEQP-VK.renderpass.attachment.3.342
 +dEQP-VK.renderpass.attachment.3.343
 +dEQP-VK.renderpass.attachment.3.344
 +dEQP-VK.renderpass.attachment.3.345
 +dEQP-VK.renderpass.attachment.3.346
 +dEQP-VK.renderpass.attachment.3.347
 +dEQP-VK.renderpass.attachment.3.348
 +dEQP-VK.renderpass.attachment.3.349
 +dEQP-VK.renderpass.attachment.3.350
 +dEQP-VK.renderpass.attachment.3.351
 +dEQP-VK.renderpass.attachment.3.352
 +dEQP-VK.renderpass.attachment.3.353
 +dEQP-VK.renderpass.attachment.3.354
 +dEQP-VK.renderpass.attachment.3.355
 +dEQP-VK.renderpass.attachment.3.356
 +dEQP-VK.renderpass.attachment.3.357
 +dEQP-VK.renderpass.attachment.3.358
 +dEQP-VK.renderpass.attachment.3.359
 +dEQP-VK.renderpass.attachment.3.360
 +dEQP-VK.renderpass.attachment.3.361
 +dEQP-VK.renderpass.attachment.3.362
 +dEQP-VK.renderpass.attachment.3.363
 +dEQP-VK.renderpass.attachment.3.364
 +dEQP-VK.renderpass.attachment.3.365
 +dEQP-VK.renderpass.attachment.3.366
 +dEQP-VK.renderpass.attachment.3.367
 +dEQP-VK.renderpass.attachment.3.368
 +dEQP-VK.renderpass.attachment.3.369
 +dEQP-VK.renderpass.attachment.3.370
 +dEQP-VK.renderpass.attachment.3.371
 +dEQP-VK.renderpass.attachment.3.372
 +dEQP-VK.renderpass.attachment.3.373
 +dEQP-VK.renderpass.attachment.3.374
 +dEQP-VK.renderpass.attachment.3.375
 +dEQP-VK.renderpass.attachment.3.376
 +dEQP-VK.renderpass.attachment.3.377
 +dEQP-VK.renderpass.attachment.3.378
 +dEQP-VK.renderpass.attachment.3.379
 +dEQP-VK.renderpass.attachment.3.380
 +dEQP-VK.renderpass.attachment.3.381
 +dEQP-VK.renderpass.attachment.3.382
 +dEQP-VK.renderpass.attachment.3.383
 +dEQP-VK.renderpass.attachment.3.384
 +dEQP-VK.renderpass.attachment.3.385
 +dEQP-VK.renderpass.attachment.3.386
 +dEQP-VK.renderpass.attachment.3.387
 +dEQP-VK.renderpass.attachment.3.388
 +dEQP-VK.renderpass.attachment.3.389
 +dEQP-VK.renderpass.attachment.3.390
 +dEQP-VK.renderpass.attachment.3.391
 +dEQP-VK.renderpass.attachment.3.392
 +dEQP-VK.renderpass.attachment.3.393
 +dEQP-VK.renderpass.attachment.3.394
 +dEQP-VK.renderpass.attachment.3.395
 +dEQP-VK.renderpass.attachment.3.396
 +dEQP-VK.renderpass.attachment.3.397
 +dEQP-VK.renderpass.attachment.3.398
 +dEQP-VK.renderpass.attachment.3.399
 +dEQP-VK.renderpass.attachment.4.400
 +dEQP-VK.renderpass.attachment.4.401
 +dEQP-VK.renderpass.attachment.4.402
 +dEQP-VK.renderpass.attachment.4.403
 +dEQP-VK.renderpass.attachment.4.404
 +dEQP-VK.renderpass.attachment.4.405
 +dEQP-VK.renderpass.attachment.4.406
 +dEQP-VK.renderpass.attachment.4.407
 +dEQP-VK.renderpass.attachment.4.408
 +dEQP-VK.renderpass.attachment.4.409
 +dEQP-VK.renderpass.attachment.4.410
 +dEQP-VK.renderpass.attachment.4.411
 +dEQP-VK.renderpass.attachment.4.412
 +dEQP-VK.renderpass.attachment.4.413
 +dEQP-VK.renderpass.attachment.4.414
 +dEQP-VK.renderpass.attachment.4.415
 +dEQP-VK.renderpass.attachment.4.416
 +dEQP-VK.renderpass.attachment.4.417
 +dEQP-VK.renderpass.attachment.4.418
 +dEQP-VK.renderpass.attachment.4.419
 +dEQP-VK.renderpass.attachment.4.420
 +dEQP-VK.renderpass.attachment.4.421
 +dEQP-VK.renderpass.attachment.4.422
 +dEQP-VK.renderpass.attachment.4.423
 +dEQP-VK.renderpass.attachment.4.424
 +dEQP-VK.renderpass.attachment.4.425
 +dEQP-VK.renderpass.attachment.4.426
 +dEQP-VK.renderpass.attachment.4.427
 +dEQP-VK.renderpass.attachment.4.428
 +dEQP-VK.renderpass.attachment.4.429
 +dEQP-VK.renderpass.attachment.4.430
 +dEQP-VK.renderpass.attachment.4.431
 +dEQP-VK.renderpass.attachment.4.432
 +dEQP-VK.renderpass.attachment.4.433
 +dEQP-VK.renderpass.attachment.4.434
 +dEQP-VK.renderpass.attachment.4.435
 +dEQP-VK.renderpass.attachment.4.436
 +dEQP-VK.renderpass.attachment.4.437
 +dEQP-VK.renderpass.attachment.4.438
 +dEQP-VK.renderpass.attachment.4.439
 +dEQP-VK.renderpass.attachment.4.440
 +dEQP-VK.renderpass.attachment.4.441
 +dEQP-VK.renderpass.attachment.4.442
 +dEQP-VK.renderpass.attachment.4.443
 +dEQP-VK.renderpass.attachment.4.444
 +dEQP-VK.renderpass.attachment.4.445
 +dEQP-VK.renderpass.attachment.4.446
 +dEQP-VK.renderpass.attachment.4.447
 +dEQP-VK.renderpass.attachment.4.448
 +dEQP-VK.renderpass.attachment.4.449
 +dEQP-VK.renderpass.attachment.4.450
 +dEQP-VK.renderpass.attachment.4.451
 +dEQP-VK.renderpass.attachment.4.452
 +dEQP-VK.renderpass.attachment.4.453
 +dEQP-VK.renderpass.attachment.4.454
 +dEQP-VK.renderpass.attachment.4.455
 +dEQP-VK.renderpass.attachment.4.456
 +dEQP-VK.renderpass.attachment.4.457
 +dEQP-VK.renderpass.attachment.4.458
 +dEQP-VK.renderpass.attachment.4.459
 +dEQP-VK.renderpass.attachment.4.460
 +dEQP-VK.renderpass.attachment.4.461
 +dEQP-VK.renderpass.attachment.4.462
 +dEQP-VK.renderpass.attachment.4.463
 +dEQP-VK.renderpass.attachment.4.464
 +dEQP-VK.renderpass.attachment.4.465
 +dEQP-VK.renderpass.attachment.4.466
 +dEQP-VK.renderpass.attachment.4.467
 +dEQP-VK.renderpass.attachment.4.468
 +dEQP-VK.renderpass.attachment.4.469
 +dEQP-VK.renderpass.attachment.4.470
 +dEQP-VK.renderpass.attachment.4.471
 +dEQP-VK.renderpass.attachment.4.472
 +dEQP-VK.renderpass.attachment.4.473
 +dEQP-VK.renderpass.attachment.4.474
 +dEQP-VK.renderpass.attachment.4.475
 +dEQP-VK.renderpass.attachment.4.476
 +dEQP-VK.renderpass.attachment.4.477
 +dEQP-VK.renderpass.attachment.4.478
 +dEQP-VK.renderpass.attachment.4.479
 +dEQP-VK.renderpass.attachment.4.480
 +dEQP-VK.renderpass.attachment.4.481
 +dEQP-VK.renderpass.attachment.4.482
 +dEQP-VK.renderpass.attachment.4.483
 +dEQP-VK.renderpass.attachment.4.484
 +dEQP-VK.renderpass.attachment.4.485
 +dEQP-VK.renderpass.attachment.4.486
 +dEQP-VK.renderpass.attachment.4.487
 +dEQP-VK.renderpass.attachment.4.488
 +dEQP-VK.renderpass.attachment.4.489
 +dEQP-VK.renderpass.attachment.4.490
 +dEQP-VK.renderpass.attachment.4.491
 +dEQP-VK.renderpass.attachment.4.492
 +dEQP-VK.renderpass.attachment.4.493
 +dEQP-VK.renderpass.attachment.4.494
 +dEQP-VK.renderpass.attachment.4.495
 +dEQP-VK.renderpass.attachment.4.496
 +dEQP-VK.renderpass.attachment.4.497
 +dEQP-VK.renderpass.attachment.4.498
 +dEQP-VK.renderpass.attachment.4.499
 +dEQP-VK.renderpass.attachment.4.500
 +dEQP-VK.renderpass.attachment.4.501
 +dEQP-VK.renderpass.attachment.4.502
 +dEQP-VK.renderpass.attachment.4.503
 +dEQP-VK.renderpass.attachment.4.504
 +dEQP-VK.renderpass.attachment.4.505
 +dEQP-VK.renderpass.attachment.4.506
 +dEQP-VK.renderpass.attachment.4.507
 +dEQP-VK.renderpass.attachment.4.508
 +dEQP-VK.renderpass.attachment.4.509
 +dEQP-VK.renderpass.attachment.4.510
 +dEQP-VK.renderpass.attachment.4.511
 +dEQP-VK.renderpass.attachment.4.512
 +dEQP-VK.renderpass.attachment.4.513
 +dEQP-VK.renderpass.attachment.4.514
 +dEQP-VK.renderpass.attachment.4.515
 +dEQP-VK.renderpass.attachment.4.516
 +dEQP-VK.renderpass.attachment.4.517
 +dEQP-VK.renderpass.attachment.4.518
 +dEQP-VK.renderpass.attachment.4.519
 +dEQP-VK.renderpass.attachment.4.520
 +dEQP-VK.renderpass.attachment.4.521
 +dEQP-VK.renderpass.attachment.4.522
 +dEQP-VK.renderpass.attachment.4.523
 +dEQP-VK.renderpass.attachment.4.524
 +dEQP-VK.renderpass.attachment.4.525
 +dEQP-VK.renderpass.attachment.4.526
 +dEQP-VK.renderpass.attachment.4.527
 +dEQP-VK.renderpass.attachment.4.528
 +dEQP-VK.renderpass.attachment.4.529
 +dEQP-VK.renderpass.attachment.4.530
 +dEQP-VK.renderpass.attachment.4.531
 +dEQP-VK.renderpass.attachment.4.532
 +dEQP-VK.renderpass.attachment.4.533
 +dEQP-VK.renderpass.attachment.4.534
 +dEQP-VK.renderpass.attachment.4.535
 +dEQP-VK.renderpass.attachment.4.536
 +dEQP-VK.renderpass.attachment.4.537
 +dEQP-VK.renderpass.attachment.4.538
 +dEQP-VK.renderpass.attachment.4.539
 +dEQP-VK.renderpass.attachment.4.540
 +dEQP-VK.renderpass.attachment.4.541
 +dEQP-VK.renderpass.attachment.4.542
 +dEQP-VK.renderpass.attachment.4.543
 +dEQP-VK.renderpass.attachment.4.544
 +dEQP-VK.renderpass.attachment.4.545
 +dEQP-VK.renderpass.attachment.4.546
 +dEQP-VK.renderpass.attachment.4.547
 +dEQP-VK.renderpass.attachment.4.548
 +dEQP-VK.renderpass.attachment.4.549
 +dEQP-VK.renderpass.attachment.4.550
 +dEQP-VK.renderpass.attachment.4.551
 +dEQP-VK.renderpass.attachment.4.552
 +dEQP-VK.renderpass.attachment.4.553
 +dEQP-VK.renderpass.attachment.4.554
 +dEQP-VK.renderpass.attachment.4.555
 +dEQP-VK.renderpass.attachment.4.556
 +dEQP-VK.renderpass.attachment.4.557
 +dEQP-VK.renderpass.attachment.4.558
 +dEQP-VK.renderpass.attachment.4.559
 +dEQP-VK.renderpass.attachment.4.560
 +dEQP-VK.renderpass.attachment.4.561
 +dEQP-VK.renderpass.attachment.4.562
 +dEQP-VK.renderpass.attachment.4.563
 +dEQP-VK.renderpass.attachment.4.564
 +dEQP-VK.renderpass.attachment.4.565
 +dEQP-VK.renderpass.attachment.4.566
 +dEQP-VK.renderpass.attachment.4.567
 +dEQP-VK.renderpass.attachment.4.568
 +dEQP-VK.renderpass.attachment.4.569
 +dEQP-VK.renderpass.attachment.4.570
 +dEQP-VK.renderpass.attachment.4.571
 +dEQP-VK.renderpass.attachment.4.572
 +dEQP-VK.renderpass.attachment.4.573
 +dEQP-VK.renderpass.attachment.4.574
 +dEQP-VK.renderpass.attachment.4.575
 +dEQP-VK.renderpass.attachment.4.576
 +dEQP-VK.renderpass.attachment.4.577
 +dEQP-VK.renderpass.attachment.4.578
 +dEQP-VK.renderpass.attachment.4.579
 +dEQP-VK.renderpass.attachment.4.580
 +dEQP-VK.renderpass.attachment.4.581
 +dEQP-VK.renderpass.attachment.4.582
 +dEQP-VK.renderpass.attachment.4.583
 +dEQP-VK.renderpass.attachment.4.584
 +dEQP-VK.renderpass.attachment.4.585
 +dEQP-VK.renderpass.attachment.4.586
 +dEQP-VK.renderpass.attachment.4.587
 +dEQP-VK.renderpass.attachment.4.588
 +dEQP-VK.renderpass.attachment.4.589
 +dEQP-VK.renderpass.attachment.4.590
 +dEQP-VK.renderpass.attachment.4.591
 +dEQP-VK.renderpass.attachment.4.592
 +dEQP-VK.renderpass.attachment.4.593
 +dEQP-VK.renderpass.attachment.4.594
 +dEQP-VK.renderpass.attachment.4.595
 +dEQP-VK.renderpass.attachment.4.596
 +dEQP-VK.renderpass.attachment.4.597
 +dEQP-VK.renderpass.attachment.4.598
 +dEQP-VK.renderpass.attachment.4.599
 +dEQP-VK.renderpass.attachment.8.600
 +dEQP-VK.renderpass.attachment.8.601
 +dEQP-VK.renderpass.attachment.8.602
 +dEQP-VK.renderpass.attachment.8.603
 +dEQP-VK.renderpass.attachment.8.604
 +dEQP-VK.renderpass.attachment.8.605
 +dEQP-VK.renderpass.attachment.8.606
 +dEQP-VK.renderpass.attachment.8.607
 +dEQP-VK.renderpass.attachment.8.608
 +dEQP-VK.renderpass.attachment.8.609
 +dEQP-VK.renderpass.attachment.8.610
 +dEQP-VK.renderpass.attachment.8.611
 +dEQP-VK.renderpass.attachment.8.612
 +dEQP-VK.renderpass.attachment.8.613
 +dEQP-VK.renderpass.attachment.8.614
 +dEQP-VK.renderpass.attachment.8.615
 +dEQP-VK.renderpass.attachment.8.616
 +dEQP-VK.renderpass.attachment.8.617
 +dEQP-VK.renderpass.attachment.8.618
 +dEQP-VK.renderpass.attachment.8.619
 +dEQP-VK.renderpass.attachment.8.620
 +dEQP-VK.renderpass.attachment.8.621
 +dEQP-VK.renderpass.attachment.8.622
 +dEQP-VK.renderpass.attachment.8.623
 +dEQP-VK.renderpass.attachment.8.624
 +dEQP-VK.renderpass.attachment.8.625
 +dEQP-VK.renderpass.attachment.8.626
 +dEQP-VK.renderpass.attachment.8.627
 +dEQP-VK.renderpass.attachment.8.628
 +dEQP-VK.renderpass.attachment.8.629
 +dEQP-VK.renderpass.attachment.8.630
 +dEQP-VK.renderpass.attachment.8.631
 +dEQP-VK.renderpass.attachment.8.632
 +dEQP-VK.renderpass.attachment.8.633
 +dEQP-VK.renderpass.attachment.8.634
 +dEQP-VK.renderpass.attachment.8.635
 +dEQP-VK.renderpass.attachment.8.636
 +dEQP-VK.renderpass.attachment.8.637
 +dEQP-VK.renderpass.attachment.8.638
 +dEQP-VK.renderpass.attachment.8.639
 +dEQP-VK.renderpass.attachment.8.640
 +dEQP-VK.renderpass.attachment.8.641
 +dEQP-VK.renderpass.attachment.8.642
 +dEQP-VK.renderpass.attachment.8.643
 +dEQP-VK.renderpass.attachment.8.644
 +dEQP-VK.renderpass.attachment.8.645
 +dEQP-VK.renderpass.attachment.8.646
 +dEQP-VK.renderpass.attachment.8.647
 +dEQP-VK.renderpass.attachment.8.648
 +dEQP-VK.renderpass.attachment.8.649
 +dEQP-VK.renderpass.attachment.8.650
 +dEQP-VK.renderpass.attachment.8.651
 +dEQP-VK.renderpass.attachment.8.652
 +dEQP-VK.renderpass.attachment.8.653
 +dEQP-VK.renderpass.attachment.8.654
 +dEQP-VK.renderpass.attachment.8.655
 +dEQP-VK.renderpass.attachment.8.656
 +dEQP-VK.renderpass.attachment.8.657
 +dEQP-VK.renderpass.attachment.8.658
 +dEQP-VK.renderpass.attachment.8.659
 +dEQP-VK.renderpass.attachment.8.660
 +dEQP-VK.renderpass.attachment.8.661
 +dEQP-VK.renderpass.attachment.8.662
 +dEQP-VK.renderpass.attachment.8.663
 +dEQP-VK.renderpass.attachment.8.664
 +dEQP-VK.renderpass.attachment.8.665
 +dEQP-VK.renderpass.attachment.8.666
 +dEQP-VK.renderpass.attachment.8.667
 +dEQP-VK.renderpass.attachment.8.668
 +dEQP-VK.renderpass.attachment.8.669
 +dEQP-VK.renderpass.attachment.8.670
 +dEQP-VK.renderpass.attachment.8.671
 +dEQP-VK.renderpass.attachment.8.672
 +dEQP-VK.renderpass.attachment.8.673
 +dEQP-VK.renderpass.attachment.8.674
 +dEQP-VK.renderpass.attachment.8.675
 +dEQP-VK.renderpass.attachment.8.676
 +dEQP-VK.renderpass.attachment.8.677
 +dEQP-VK.renderpass.attachment.8.678
 +dEQP-VK.renderpass.attachment.8.679
 +dEQP-VK.renderpass.attachment.8.680
 +dEQP-VK.renderpass.attachment.8.681
 +dEQP-VK.renderpass.attachment.8.682
 +dEQP-VK.renderpass.attachment.8.683
 +dEQP-VK.renderpass.attachment.8.684
 +dEQP-VK.renderpass.attachment.8.685
 +dEQP-VK.renderpass.attachment.8.686
 +dEQP-VK.renderpass.attachment.8.687
 +dEQP-VK.renderpass.attachment.8.688
 +dEQP-VK.renderpass.attachment.8.689
 +dEQP-VK.renderpass.attachment.8.690
 +dEQP-VK.renderpass.attachment.8.691
 +dEQP-VK.renderpass.attachment.8.692
 +dEQP-VK.renderpass.attachment.8.693
 +dEQP-VK.renderpass.attachment.8.694
 +dEQP-VK.renderpass.attachment.8.695
 +dEQP-VK.renderpass.attachment.8.696
 +dEQP-VK.renderpass.attachment.8.697
 +dEQP-VK.renderpass.attachment.8.698
 +dEQP-VK.renderpass.attachment.8.699
 +dEQP-VK.renderpass.attachment.8.700
 +dEQP-VK.renderpass.attachment.8.701
 +dEQP-VK.renderpass.attachment.8.702
 +dEQP-VK.renderpass.attachment.8.703
 +dEQP-VK.renderpass.attachment.8.704
 +dEQP-VK.renderpass.attachment.8.705
 +dEQP-VK.renderpass.attachment.8.706
 +dEQP-VK.renderpass.attachment.8.707
 +dEQP-VK.renderpass.attachment.8.708
 +dEQP-VK.renderpass.attachment.8.709
 +dEQP-VK.renderpass.attachment.8.710
 +dEQP-VK.renderpass.attachment.8.711
 +dEQP-VK.renderpass.attachment.8.712
 +dEQP-VK.renderpass.attachment.8.713
 +dEQP-VK.renderpass.attachment.8.714
 +dEQP-VK.renderpass.attachment.8.715
 +dEQP-VK.renderpass.attachment.8.716
 +dEQP-VK.renderpass.attachment.8.717
 +dEQP-VK.renderpass.attachment.8.718
 +dEQP-VK.renderpass.attachment.8.719
 +dEQP-VK.renderpass.attachment.8.720
 +dEQP-VK.renderpass.attachment.8.721
 +dEQP-VK.renderpass.attachment.8.722
 +dEQP-VK.renderpass.attachment.8.723
 +dEQP-VK.renderpass.attachment.8.724
 +dEQP-VK.renderpass.attachment.8.725
 +dEQP-VK.renderpass.attachment.8.726
 +dEQP-VK.renderpass.attachment.8.727
 +dEQP-VK.renderpass.attachment.8.728
 +dEQP-VK.renderpass.attachment.8.729
 +dEQP-VK.renderpass.attachment.8.730
 +dEQP-VK.renderpass.attachment.8.731
 +dEQP-VK.renderpass.attachment.8.732
 +dEQP-VK.renderpass.attachment.8.733
 +dEQP-VK.renderpass.attachment.8.734
 +dEQP-VK.renderpass.attachment.8.735
 +dEQP-VK.renderpass.attachment.8.736
 +dEQP-VK.renderpass.attachment.8.737
 +dEQP-VK.renderpass.attachment.8.738
 +dEQP-VK.renderpass.attachment.8.739
 +dEQP-VK.renderpass.attachment.8.740
 +dEQP-VK.renderpass.attachment.8.741
 +dEQP-VK.renderpass.attachment.8.742
 +dEQP-VK.renderpass.attachment.8.743
 +dEQP-VK.renderpass.attachment.8.744
 +dEQP-VK.renderpass.attachment.8.745
 +dEQP-VK.renderpass.attachment.8.746
 +dEQP-VK.renderpass.attachment.8.747
 +dEQP-VK.renderpass.attachment.8.748
 +dEQP-VK.renderpass.attachment.8.749
 +dEQP-VK.renderpass.attachment.8.750
 +dEQP-VK.renderpass.attachment.8.751
 +dEQP-VK.renderpass.attachment.8.752
 +dEQP-VK.renderpass.attachment.8.753
 +dEQP-VK.renderpass.attachment.8.754
 +dEQP-VK.renderpass.attachment.8.755
 +dEQP-VK.renderpass.attachment.8.756
 +dEQP-VK.renderpass.attachment.8.757
 +dEQP-VK.renderpass.attachment.8.758
 +dEQP-VK.renderpass.attachment.8.759
 +dEQP-VK.renderpass.attachment.8.760
 +dEQP-VK.renderpass.attachment.8.761
 +dEQP-VK.renderpass.attachment.8.762
 +dEQP-VK.renderpass.attachment.8.763
 +dEQP-VK.renderpass.attachment.8.764
 +dEQP-VK.renderpass.attachment.8.765
 +dEQP-VK.renderpass.attachment.8.766
 +dEQP-VK.renderpass.attachment.8.767
 +dEQP-VK.renderpass.attachment.8.768
 +dEQP-VK.renderpass.attachment.8.769
 +dEQP-VK.renderpass.attachment.8.770
 +dEQP-VK.renderpass.attachment.8.771
 +dEQP-VK.renderpass.attachment.8.772
 +dEQP-VK.renderpass.attachment.8.773
 +dEQP-VK.renderpass.attachment.8.774
 +dEQP-VK.renderpass.attachment.8.775
 +dEQP-VK.renderpass.attachment.8.776
 +dEQP-VK.renderpass.attachment.8.777
 +dEQP-VK.renderpass.attachment.8.778
 +dEQP-VK.renderpass.attachment.8.779
 +dEQP-VK.renderpass.attachment.8.780
 +dEQP-VK.renderpass.attachment.8.781
 +dEQP-VK.renderpass.attachment.8.782
 +dEQP-VK.renderpass.attachment.8.783
 +dEQP-VK.renderpass.attachment.8.784
 +dEQP-VK.renderpass.attachment.8.785
 +dEQP-VK.renderpass.attachment.8.786
 +dEQP-VK.renderpass.attachment.8.787
 +dEQP-VK.renderpass.attachment.8.788
 +dEQP-VK.renderpass.attachment.8.789
 +dEQP-VK.renderpass.attachment.8.790
 +dEQP-VK.renderpass.attachment.8.791
 +dEQP-VK.renderpass.attachment.8.792
 +dEQP-VK.renderpass.attachment.8.793
 +dEQP-VK.renderpass.attachment.8.794
 +dEQP-VK.renderpass.attachment.8.795
 +dEQP-VK.renderpass.attachment.8.796
 +dEQP-VK.renderpass.attachment.8.797
 +dEQP-VK.renderpass.attachment.8.798
 +dEQP-VK.renderpass.attachment.8.799
 +dEQP-VK.renderpass.attachment_allocation.grow.0
 +dEQP-VK.renderpass.attachment_allocation.grow.1
 +dEQP-VK.renderpass.attachment_allocation.grow.2
 +dEQP-VK.renderpass.attachment_allocation.grow.3
 +dEQP-VK.renderpass.attachment_allocation.grow.4
 +dEQP-VK.renderpass.attachment_allocation.grow.5
 +dEQP-VK.renderpass.attachment_allocation.grow.6
 +dEQP-VK.renderpass.attachment_allocation.grow.7
 +dEQP-VK.renderpass.attachment_allocation.grow.8
 +dEQP-VK.renderpass.attachment_allocation.grow.9
 +dEQP-VK.renderpass.attachment_allocation.grow.10
 +dEQP-VK.renderpass.attachment_allocation.grow.11
 +dEQP-VK.renderpass.attachment_allocation.grow.12
 +dEQP-VK.renderpass.attachment_allocation.grow.13
 +dEQP-VK.renderpass.attachment_allocation.grow.14
 +dEQP-VK.renderpass.attachment_allocation.grow.15
 +dEQP-VK.renderpass.attachment_allocation.grow.16
 +dEQP-VK.renderpass.attachment_allocation.grow.17
 +dEQP-VK.renderpass.attachment_allocation.grow.18
 +dEQP-VK.renderpass.attachment_allocation.grow.19
 +dEQP-VK.renderpass.attachment_allocation.grow.20
 +dEQP-VK.renderpass.attachment_allocation.grow.21
 +dEQP-VK.renderpass.attachment_allocation.grow.22
 +dEQP-VK.renderpass.attachment_allocation.grow.23
 +dEQP-VK.renderpass.attachment_allocation.grow.24
 +dEQP-VK.renderpass.attachment_allocation.grow.25
 +dEQP-VK.renderpass.attachment_allocation.grow.26
 +dEQP-VK.renderpass.attachment_allocation.grow.27
 +dEQP-VK.renderpass.attachment_allocation.grow.28
 +dEQP-VK.renderpass.attachment_allocation.grow.29
 +dEQP-VK.renderpass.attachment_allocation.grow.30
 +dEQP-VK.renderpass.attachment_allocation.grow.31
 +dEQP-VK.renderpass.attachment_allocation.grow.32
 +dEQP-VK.renderpass.attachment_allocation.grow.33
 +dEQP-VK.renderpass.attachment_allocation.grow.34
 +dEQP-VK.renderpass.attachment_allocation.grow.35
 +dEQP-VK.renderpass.attachment_allocation.grow.36
 +dEQP-VK.renderpass.attachment_allocation.grow.37
 +dEQP-VK.renderpass.attachment_allocation.grow.38
 +dEQP-VK.renderpass.attachment_allocation.grow.39
 +dEQP-VK.renderpass.attachment_allocation.grow.40
 +dEQP-VK.renderpass.attachment_allocation.grow.41
 +dEQP-VK.renderpass.attachment_allocation.grow.42
 +dEQP-VK.renderpass.attachment_allocation.grow.43
 +dEQP-VK.renderpass.attachment_allocation.grow.44
 +dEQP-VK.renderpass.attachment_allocation.grow.45
 +dEQP-VK.renderpass.attachment_allocation.grow.46
 +dEQP-VK.renderpass.attachment_allocation.grow.47
 +dEQP-VK.renderpass.attachment_allocation.grow.48
 +dEQP-VK.renderpass.attachment_allocation.grow.49
 +dEQP-VK.renderpass.attachment_allocation.grow.50
 +dEQP-VK.renderpass.attachment_allocation.grow.51
 +dEQP-VK.renderpass.attachment_allocation.grow.52
 +dEQP-VK.renderpass.attachment_allocation.grow.53
 +dEQP-VK.renderpass.attachment_allocation.grow.54
 +dEQP-VK.renderpass.attachment_allocation.grow.55
 +dEQP-VK.renderpass.attachment_allocation.grow.56
 +dEQP-VK.renderpass.attachment_allocation.grow.57
 +dEQP-VK.renderpass.attachment_allocation.grow.58
 +dEQP-VK.renderpass.attachment_allocation.grow.59
 +dEQP-VK.renderpass.attachment_allocation.grow.60
 +dEQP-VK.renderpass.attachment_allocation.grow.61
 +dEQP-VK.renderpass.attachment_allocation.grow.62
 +dEQP-VK.renderpass.attachment_allocation.grow.63
 +dEQP-VK.renderpass.attachment_allocation.grow.64
 +dEQP-VK.renderpass.attachment_allocation.grow.65
 +dEQP-VK.renderpass.attachment_allocation.grow.66
 +dEQP-VK.renderpass.attachment_allocation.grow.67
 +dEQP-VK.renderpass.attachment_allocation.grow.68
 +dEQP-VK.renderpass.attachment_allocation.grow.69
 +dEQP-VK.renderpass.attachment_allocation.grow.70
 +dEQP-VK.renderpass.attachment_allocation.grow.71
 +dEQP-VK.renderpass.attachment_allocation.grow.72
 +dEQP-VK.renderpass.attachment_allocation.grow.73
 +dEQP-VK.renderpass.attachment_allocation.grow.74
 +dEQP-VK.renderpass.attachment_allocation.grow.75
 +dEQP-VK.renderpass.attachment_allocation.grow.76
 +dEQP-VK.renderpass.attachment_allocation.grow.77
 +dEQP-VK.renderpass.attachment_allocation.grow.78
 +dEQP-VK.renderpass.attachment_allocation.grow.79
 +dEQP-VK.renderpass.attachment_allocation.grow.80
 +dEQP-VK.renderpass.attachment_allocation.grow.81
 +dEQP-VK.renderpass.attachment_allocation.grow.82
 +dEQP-VK.renderpass.attachment_allocation.grow.83
 +dEQP-VK.renderpass.attachment_allocation.grow.84
 +dEQP-VK.renderpass.attachment_allocation.grow.85
 +dEQP-VK.renderpass.attachment_allocation.grow.86
 +dEQP-VK.renderpass.attachment_allocation.grow.87
 +dEQP-VK.renderpass.attachment_allocation.grow.88
 +dEQP-VK.renderpass.attachment_allocation.grow.89
 +dEQP-VK.renderpass.attachment_allocation.grow.90
 +dEQP-VK.renderpass.attachment_allocation.grow.91
 +dEQP-VK.renderpass.attachment_allocation.grow.92
 +dEQP-VK.renderpass.attachment_allocation.grow.93
 +dEQP-VK.renderpass.attachment_allocation.grow.94
 +dEQP-VK.renderpass.attachment_allocation.grow.95
 +dEQP-VK.renderpass.attachment_allocation.grow.96
 +dEQP-VK.renderpass.attachment_allocation.grow.97
 +dEQP-VK.renderpass.attachment_allocation.grow.98
 +dEQP-VK.renderpass.attachment_allocation.grow.99
 +dEQP-VK.renderpass.attachment_allocation.shrink.0
 +dEQP-VK.renderpass.attachment_allocation.shrink.1
 +dEQP-VK.renderpass.attachment_allocation.shrink.2
 +dEQP-VK.renderpass.attachment_allocation.shrink.3
 +dEQP-VK.renderpass.attachment_allocation.shrink.4
 +dEQP-VK.renderpass.attachment_allocation.shrink.5
 +dEQP-VK.renderpass.attachment_allocation.shrink.6
 +dEQP-VK.renderpass.attachment_allocation.shrink.7
 +dEQP-VK.renderpass.attachment_allocation.shrink.8
 +dEQP-VK.renderpass.attachment_allocation.shrink.9
 +dEQP-VK.renderpass.attachment_allocation.shrink.10
 +dEQP-VK.renderpass.attachment_allocation.shrink.11
 +dEQP-VK.renderpass.attachment_allocation.shrink.12
 +dEQP-VK.renderpass.attachment_allocation.shrink.13
 +dEQP-VK.renderpass.attachment_allocation.shrink.14
 +dEQP-VK.renderpass.attachment_allocation.shrink.15
 +dEQP-VK.renderpass.attachment_allocation.shrink.16
 +dEQP-VK.renderpass.attachment_allocation.shrink.17
 +dEQP-VK.renderpass.attachment_allocation.shrink.18
 +dEQP-VK.renderpass.attachment_allocation.shrink.19
 +dEQP-VK.renderpass.attachment_allocation.shrink.20
 +dEQP-VK.renderpass.attachment_allocation.shrink.21
 +dEQP-VK.renderpass.attachment_allocation.shrink.22
 +dEQP-VK.renderpass.attachment_allocation.shrink.23
 +dEQP-VK.renderpass.attachment_allocation.shrink.24
 +dEQP-VK.renderpass.attachment_allocation.shrink.25
 +dEQP-VK.renderpass.attachment_allocation.shrink.26
 +dEQP-VK.renderpass.attachment_allocation.shrink.27
 +dEQP-VK.renderpass.attachment_allocation.shrink.28
 +dEQP-VK.renderpass.attachment_allocation.shrink.29
 +dEQP-VK.renderpass.attachment_allocation.shrink.30
 +dEQP-VK.renderpass.attachment_allocation.shrink.31
 +dEQP-VK.renderpass.attachment_allocation.shrink.32
 +dEQP-VK.renderpass.attachment_allocation.shrink.33
 +dEQP-VK.renderpass.attachment_allocation.shrink.34
 +dEQP-VK.renderpass.attachment_allocation.shrink.35
 +dEQP-VK.renderpass.attachment_allocation.shrink.36
 +dEQP-VK.renderpass.attachment_allocation.shrink.37
 +dEQP-VK.renderpass.attachment_allocation.shrink.38
 +dEQP-VK.renderpass.attachment_allocation.shrink.39
 +dEQP-VK.renderpass.attachment_allocation.shrink.40
 +dEQP-VK.renderpass.attachment_allocation.shrink.41
 +dEQP-VK.renderpass.attachment_allocation.shrink.42
 +dEQP-VK.renderpass.attachment_allocation.shrink.43
 +dEQP-VK.renderpass.attachment_allocation.shrink.44
 +dEQP-VK.renderpass.attachment_allocation.shrink.45
 +dEQP-VK.renderpass.attachment_allocation.shrink.46
 +dEQP-VK.renderpass.attachment_allocation.shrink.47
 +dEQP-VK.renderpass.attachment_allocation.shrink.48
 +dEQP-VK.renderpass.attachment_allocation.shrink.49
 +dEQP-VK.renderpass.attachment_allocation.shrink.50
 +dEQP-VK.renderpass.attachment_allocation.shrink.51
 +dEQP-VK.renderpass.attachment_allocation.shrink.52
 +dEQP-VK.renderpass.attachment_allocation.shrink.53
 +dEQP-VK.renderpass.attachment_allocation.shrink.54
 +dEQP-VK.renderpass.attachment_allocation.shrink.55
 +dEQP-VK.renderpass.attachment_allocation.shrink.56
 +dEQP-VK.renderpass.attachment_allocation.shrink.57
 +dEQP-VK.renderpass.attachment_allocation.shrink.58
 +dEQP-VK.renderpass.attachment_allocation.shrink.59
 +dEQP-VK.renderpass.attachment_allocation.shrink.60
 +dEQP-VK.renderpass.attachment_allocation.shrink.61
 +dEQP-VK.renderpass.attachment_allocation.shrink.62
 +dEQP-VK.renderpass.attachment_allocation.shrink.63
 +dEQP-VK.renderpass.attachment_allocation.shrink.64
 +dEQP-VK.renderpass.attachment_allocation.shrink.65
 +dEQP-VK.renderpass.attachment_allocation.shrink.66
 +dEQP-VK.renderpass.attachment_allocation.shrink.67
 +dEQP-VK.renderpass.attachment_allocation.shrink.68
 +dEQP-VK.renderpass.attachment_allocation.shrink.69
 +dEQP-VK.renderpass.attachment_allocation.shrink.70
 +dEQP-VK.renderpass.attachment_allocation.shrink.71
 +dEQP-VK.renderpass.attachment_allocation.shrink.72
 +dEQP-VK.renderpass.attachment_allocation.shrink.73
 +dEQP-VK.renderpass.attachment_allocation.shrink.74
 +dEQP-VK.renderpass.attachment_allocation.shrink.75
 +dEQP-VK.renderpass.attachment_allocation.shrink.76
 +dEQP-VK.renderpass.attachment_allocation.shrink.77
 +dEQP-VK.renderpass.attachment_allocation.shrink.78
 +dEQP-VK.renderpass.attachment_allocation.shrink.79
 +dEQP-VK.renderpass.attachment_allocation.shrink.80
 +dEQP-VK.renderpass.attachment_allocation.shrink.81
 +dEQP-VK.renderpass.attachment_allocation.shrink.82
 +dEQP-VK.renderpass.attachment_allocation.shrink.83
 +dEQP-VK.renderpass.attachment_allocation.shrink.84
 +dEQP-VK.renderpass.attachment_allocation.shrink.85
 +dEQP-VK.renderpass.attachment_allocation.shrink.86
 +dEQP-VK.renderpass.attachment_allocation.shrink.87
 +dEQP-VK.renderpass.attachment_allocation.shrink.88
 +dEQP-VK.renderpass.attachment_allocation.shrink.89
 +dEQP-VK.renderpass.attachment_allocation.shrink.90
 +dEQP-VK.renderpass.attachment_allocation.shrink.91
 +dEQP-VK.renderpass.attachment_allocation.shrink.92
 +dEQP-VK.renderpass.attachment_allocation.shrink.93
 +dEQP-VK.renderpass.attachment_allocation.shrink.94
 +dEQP-VK.renderpass.attachment_allocation.shrink.95
 +dEQP-VK.renderpass.attachment_allocation.shrink.96
 +dEQP-VK.renderpass.attachment_allocation.shrink.97
 +dEQP-VK.renderpass.attachment_allocation.shrink.98
 +dEQP-VK.renderpass.attachment_allocation.shrink.99
 +dEQP-VK.renderpass.attachment_allocation.roll.0
 +dEQP-VK.renderpass.attachment_allocation.roll.1
 +dEQP-VK.renderpass.attachment_allocation.roll.2
 +dEQP-VK.renderpass.attachment_allocation.roll.3
 +dEQP-VK.renderpass.attachment_allocation.roll.4
 +dEQP-VK.renderpass.attachment_allocation.roll.5
 +dEQP-VK.renderpass.attachment_allocation.roll.6
 +dEQP-VK.renderpass.attachment_allocation.roll.7
 +dEQP-VK.renderpass.attachment_allocation.roll.8
 +dEQP-VK.renderpass.attachment_allocation.roll.9
 +dEQP-VK.renderpass.attachment_allocation.roll.10
 +dEQP-VK.renderpass.attachment_allocation.roll.11
 +dEQP-VK.renderpass.attachment_allocation.roll.12
 +dEQP-VK.renderpass.attachment_allocation.roll.13
 +dEQP-VK.renderpass.attachment_allocation.roll.14
 +dEQP-VK.renderpass.attachment_allocation.roll.15
 +dEQP-VK.renderpass.attachment_allocation.roll.16
 +dEQP-VK.renderpass.attachment_allocation.roll.17
 +dEQP-VK.renderpass.attachment_allocation.roll.18
 +dEQP-VK.renderpass.attachment_allocation.roll.19
 +dEQP-VK.renderpass.attachment_allocation.roll.20
 +dEQP-VK.renderpass.attachment_allocation.roll.21
 +dEQP-VK.renderpass.attachment_allocation.roll.22
 +dEQP-VK.renderpass.attachment_allocation.roll.23
 +dEQP-VK.renderpass.attachment_allocation.roll.24
 +dEQP-VK.renderpass.attachment_allocation.roll.25
 +dEQP-VK.renderpass.attachment_allocation.roll.26
 +dEQP-VK.renderpass.attachment_allocation.roll.27
 +dEQP-VK.renderpass.attachment_allocation.roll.28
 +dEQP-VK.renderpass.attachment_allocation.roll.29
 +dEQP-VK.renderpass.attachment_allocation.roll.30
 +dEQP-VK.renderpass.attachment_allocation.roll.31
 +dEQP-VK.renderpass.attachment_allocation.roll.32
 +dEQP-VK.renderpass.attachment_allocation.roll.33
 +dEQP-VK.renderpass.attachment_allocation.roll.34
 +dEQP-VK.renderpass.attachment_allocation.roll.35
 +dEQP-VK.renderpass.attachment_allocation.roll.36
 +dEQP-VK.renderpass.attachment_allocation.roll.37
 +dEQP-VK.renderpass.attachment_allocation.roll.38
 +dEQP-VK.renderpass.attachment_allocation.roll.39
 +dEQP-VK.renderpass.attachment_allocation.roll.40
 +dEQP-VK.renderpass.attachment_allocation.roll.41
 +dEQP-VK.renderpass.attachment_allocation.roll.42
 +dEQP-VK.renderpass.attachment_allocation.roll.43
 +dEQP-VK.renderpass.attachment_allocation.roll.44
 +dEQP-VK.renderpass.attachment_allocation.roll.45
 +dEQP-VK.renderpass.attachment_allocation.roll.46
 +dEQP-VK.renderpass.attachment_allocation.roll.47
 +dEQP-VK.renderpass.attachment_allocation.roll.48
 +dEQP-VK.renderpass.attachment_allocation.roll.49
 +dEQP-VK.renderpass.attachment_allocation.roll.50
 +dEQP-VK.renderpass.attachment_allocation.roll.51
 +dEQP-VK.renderpass.attachment_allocation.roll.52
 +dEQP-VK.renderpass.attachment_allocation.roll.53
 +dEQP-VK.renderpass.attachment_allocation.roll.54
 +dEQP-VK.renderpass.attachment_allocation.roll.55
 +dEQP-VK.renderpass.attachment_allocation.roll.56
 +dEQP-VK.renderpass.attachment_allocation.roll.57
 +dEQP-VK.renderpass.attachment_allocation.roll.58
 +dEQP-VK.renderpass.attachment_allocation.roll.59
 +dEQP-VK.renderpass.attachment_allocation.roll.60
 +dEQP-VK.renderpass.attachment_allocation.roll.61
 +dEQP-VK.renderpass.attachment_allocation.roll.62
 +dEQP-VK.renderpass.attachment_allocation.roll.63
 +dEQP-VK.renderpass.attachment_allocation.roll.64
 +dEQP-VK.renderpass.attachment_allocation.roll.65
 +dEQP-VK.renderpass.attachment_allocation.roll.66
 +dEQP-VK.renderpass.attachment_allocation.roll.67
 +dEQP-VK.renderpass.attachment_allocation.roll.68
 +dEQP-VK.renderpass.attachment_allocation.roll.69
 +dEQP-VK.renderpass.attachment_allocation.roll.70
 +dEQP-VK.renderpass.attachment_allocation.roll.71
 +dEQP-VK.renderpass.attachment_allocation.roll.72
 +dEQP-VK.renderpass.attachment_allocation.roll.73
 +dEQP-VK.renderpass.attachment_allocation.roll.74
 +dEQP-VK.renderpass.attachment_allocation.roll.75
 +dEQP-VK.renderpass.attachment_allocation.roll.76
 +dEQP-VK.renderpass.attachment_allocation.roll.77
 +dEQP-VK.renderpass.attachment_allocation.roll.78
 +dEQP-VK.renderpass.attachment_allocation.roll.79
 +dEQP-VK.renderpass.attachment_allocation.roll.80
 +dEQP-VK.renderpass.attachment_allocation.roll.81
 +dEQP-VK.renderpass.attachment_allocation.roll.82
 +dEQP-VK.renderpass.attachment_allocation.roll.83
 +dEQP-VK.renderpass.attachment_allocation.roll.84
 +dEQP-VK.renderpass.attachment_allocation.roll.85
 +dEQP-VK.renderpass.attachment_allocation.roll.86
 +dEQP-VK.renderpass.attachment_allocation.roll.87
 +dEQP-VK.renderpass.attachment_allocation.roll.88
 +dEQP-VK.renderpass.attachment_allocation.roll.89
 +dEQP-VK.renderpass.attachment_allocation.roll.90
 +dEQP-VK.renderpass.attachment_allocation.roll.91
 +dEQP-VK.renderpass.attachment_allocation.roll.92
 +dEQP-VK.renderpass.attachment_allocation.roll.93
 +dEQP-VK.renderpass.attachment_allocation.roll.94
 +dEQP-VK.renderpass.attachment_allocation.roll.95
 +dEQP-VK.renderpass.attachment_allocation.roll.96
 +dEQP-VK.renderpass.attachment_allocation.roll.97
 +dEQP-VK.renderpass.attachment_allocation.roll.98
 +dEQP-VK.renderpass.attachment_allocation.roll.99
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.0
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.1
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.2
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.3
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.4
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.5
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.6
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.7
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.8
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.9
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.10
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.11
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.12
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.13
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.14
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.15
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.16
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.17
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.18
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.19
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.20
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.21
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.22
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.23
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.24
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.25
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.26
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.27
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.28
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.29
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.30
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.31
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.32
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.33
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.34
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.35
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.36
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.37
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.38
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.39
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.40
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.41
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.42
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.43
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.44
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.45
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.46
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.47
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.48
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.49
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.50
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.51
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.52
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.53
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.54
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.55
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.56
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.57
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.58
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.59
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.60
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.61
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.62
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.63
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.64
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.65
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.66
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.67
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.68
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.69
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.70
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.71
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.72
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.73
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.74
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.75
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.76
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.77
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.78
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.79
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.80
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.81
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.82
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.83
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.84
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.85
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.86
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.87
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.88
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.89
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.90
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.91
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.92
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.93
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.94
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.95
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.96
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.97
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.98
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.99
  dEQP-VK.ubo.2_level_array.std140.float_vertex
  dEQP-VK.ubo.2_level_array.std140.float_fragment
  dEQP-VK.ubo.2_level_array.std140.float_both
@@@ -13614,77670 -90545,6 +13614,77688 @@@ dEQP-VK.memory.pipeline_barrier.all_dev
  dEQP-VK.memory.pipeline_barrier.all_device.8192
  dEQP-VK.memory.pipeline_barrier.all_device.65536
  dEQP-VK.memory.pipeline_barrier.all_device.1048576
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d16_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d24_unorm_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_back_fail_keep_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_back_fail_zero_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_always_back_fail_decc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_not_equal_back_fail_keep_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_back_fail_incc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_always_back_fail_repl_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_equal_back_fail_decw_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_always_back_fail_keep_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_equal_back_fail_decc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_never_back_fail_keep_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_not_equal_back_fail_zero_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_always_back_fail_incc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_equal_back_fail_inv_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_not_equal_back_fail_decw_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_never_back_fail_keep_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_always_back_fail_repl_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_always_back_fail_incc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_back_fail_wrap_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_equal_back_fail_incc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_not_equal_back_fail_wrap_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_equal_back_fail_keep_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_back_fail_decc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_back_fail_keep_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_not_equal_back_fail_decc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_always_back_fail_keep_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_back_fail_inv_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_back_fail_decc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_less_back_fail_zero_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_equal_back_fail_wrap_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_not_equal_back_fail_keep_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_never_back_fail_keep_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_always_back_fail_wrap_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_never_back_fail_repl_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_back_fail_decw_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_always_back_fail_incc_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_back_fail_keep_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_always_back_fail_incc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_equal_back_fail_inv_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_never_back_fail_inv_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_greater_back_fail_repl_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_not_equal_back_fail_decw_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_back_fail_wrap_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_or_equal_back_fail_repl_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_equal_back_fail_decc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_always_back_fail_wrap_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_never_back_fail_keep_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_always_back_fail_keep_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_never_back_fail_wrap_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_always_back_fail_zero_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_equal_back_fail_keep_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_never_back_fail_decw_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_not_equal_back_fail_repl_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_never_back_fail_decc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_never_back_fail_keep_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_back_fail_repl_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_never_back_fail_wrap_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_not_equal_back_fail_zero_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_not_equal_back_fail_decw_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_back_fail_incc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_not_equal_back_fail_inv_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_back_fail_zero_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_always_back_fail_repl_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_greater_back_fail_decc_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_always_back_fail_inv_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_always_back_fail_keep_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_never_back_fail_zero_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_back_fail_inv_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_greater_back_fail_decc_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_never_back_fail_keep_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_back_fail_zero_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_back_fail_incc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_equal_back_fail_keep_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_less_back_fail_incc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_back_fail_inv_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_back_fail_inv_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_back_fail_incc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_never_back_fail_inv_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_always_back_fail_decw_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_never_back_fail_decc_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_equal_back_fail_zero_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_equal_back_fail_incc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_back_fail_wrap_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_greater_back_fail_zero_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_back_fail_decw_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_equal_back_fail_wrap_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_back_fail_wrap_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_not_equal_back_fail_incc_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_not_equal_back_fail_decw_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_not_equal_back_fail_inv_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_always_back_fail_keep_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_not_equal_back_fail_decw_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_always_back_fail_zero_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_equal_back_fail_wrap_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_always_back_fail_zero_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_not_equal_back_fail_zero_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_always_back_fail_zero_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_not_equal_back_fail_incc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_less_back_fail_keep_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_never_back_fail_repl_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_not_equal_back_fail_zero_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_back_fail_decc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_always_back_fail_decw_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_greater_back_fail_keep_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_back_fail_inv_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_equal_back_fail_repl_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_equal_back_fail_decw_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_equal_back_fail_zero_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_back_fail_decw_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_always_back_fail_inv_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_always_back_fail_wrap_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_back_fail_incc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_greater_back_fail_wrap_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_always_back_fail_incc_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_back_fail_keep_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_back_fail_wrap_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_equal_back_fail_keep_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_back_fail_wrap_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_not_equal_back_fail_incc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_back_fail_incc_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_greater_back_fail_decw_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_always_back_fail_inv_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_equal_back_fail_repl_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_never_back_fail_repl_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_equal_back_fail_repl_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_less_back_fail_repl_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_equal_back_fail_decw_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_not_equal_back_fail_decw_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_back_fail_repl_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_equal_back_fail_incc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_back_fail_repl_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_less_back_fail_incc_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_back_fail_zero_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal_back_fail_incc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_always_back_fail_decw_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_greater_back_fail_decw_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_always_back_fail_repl_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_not_equal_back_fail_decw_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_not_equal_back_fail_repl_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_always_back_fail_decw_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_never_back_fail_inv_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_never_back_fail_decc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_greater_back_fail_incc_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_never_back_fail_keep_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_back_fail_inv_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_never_back_fail_inv_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_equal_back_fail_incc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_always_back_fail_zero_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_never_back_fail_incc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_equal_back_fail_keep_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_never_back_fail_repl_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_less_back_fail_incc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_never_back_fail_zero_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_back_fail_wrap_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_greater_back_fail_zero_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_always_back_fail_inv_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_never_back_fail_decc_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_greater_back_fail_wrap_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_always_back_fail_keep_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_not_equal_back_fail_zero_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_greater_back_fail_wrap_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_always_back_fail_decw_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_less_back_fail_wrap_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_always_back_fail_inv_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_back_fail_decw_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_back_fail_repl_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_not_equal_back_fail_repl_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_back_fail_keep_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_or_equal_back_fail_zero_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_never_back_fail_decw_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_less_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_not_equal_back_fail_repl_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_never_back_fail_wrap_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_not_equal_back_fail_inv_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_always_back_fail_keep_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_always_back_fail_incc_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_back_fail_keep_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_always_back_fail_repl_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_always_back_fail_decc_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_less_back_fail_wrap_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_greater_back_fail_keep_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_equal_back_fail_repl_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_not_equal_back_fail_decc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_back_fail_wrap_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_always_back_fail_decw_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_never_back_fail_repl_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_back_fail_incc_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_always_back_fail_incc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_never_back_fail_decw_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_always_back_fail_inv_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_always_back_fail_repl_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_back_fail_incc_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_not_equal_back_fail_zero_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_equal_back_fail_repl_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_always_back_fail_zero_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_never_back_fail_decw_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_equal_back_fail_decc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_back_fail_decc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_never_back_fail_keep_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_back_fail_inv_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal_back_fail_zero_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_back_fail_wrap_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_greater_back_fail_keep_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_always_back_fail_incc_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_greater_back_fail_decc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_greater_back_fail_decw_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_not_equal_back_fail_keep_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_always_back_fail_repl_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_not_equal_back_fail_decc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_equal_back_fail_decc_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_greater_back_fail_decw_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_not_equal_back_fail_inv_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_never_back_fail_decc_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_back_fail_zero_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_not_equal_back_fail_inv_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_back_fail_wrap_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_not_equal_back_fail_decw_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_not_equal_back_fail_repl_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_back_fail_decc_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_always_back_fail_wrap_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_greater_back_fail_wrap_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_equal_back_fail_decc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_greater_back_fail_zero_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_always_back_fail_zero_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_never_back_fail_zero_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_equal_back_fail_inv_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_always_back_fail_inv_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_back_fail_zero_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal_back_fail_zero_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_not_equal_back_fail_wrap_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_less_back_fail_repl_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_not_equal_back_fail_zero_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_back_fail_decw_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_greater_back_fail_incc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_never_back_fail_repl_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_equal_back_fail_keep_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_not_equal_back_fail_incc_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_less_or_equal_back_fail_repl_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_never_back_fail_zero_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_always_back_fail_decc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_not_equal_back_fail_keep_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_back_fail_repl_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_never_back_fail_wrap_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_or_equal_back_fail_repl_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_never_back_fail_incc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_never_back_fail_zero_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_greater_back_fail_inv_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_less_back_fail_zero_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_always_back_fail_inv_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_less_or_equal_back_fail_keep_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_always_back_fail_inv_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_back_fail_wrap_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decw_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_always_back_fail_decw_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_not_equal_back_fail_inv_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_not_equal_back_fail_inv_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_back_fail_decc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_back_fail_incc_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_always_back_fail_inv_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_not_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_always_back_fail_decw_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_never_back_fail_incc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_less_back_fail_repl_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_equal_back_fail_repl_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_less_back_fail_wrap_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_back_fail_repl_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_always_back_fail_repl_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_never_back_fail_decc_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_never_back_fail_repl_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_not_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_back_fail_wrap_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_not_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_equal_back_fail_decc_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_never_back_fail_incc_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_never_back_fail_incc_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_incc_comp_equal_back_fail_wrap_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_equal_back_fail_decc_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_less_back_fail_incc_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_never_back_fail_zero_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_less_back_fail_repl_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_less_back_fail_wrap_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_less_back_fail_repl_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_always_back_fail_wrap_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_equal_back_fail_repl_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_incc_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_less_back_fail_zero_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_back_fail_inv_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_not_equal_back_fail_keep_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_equal_back_fail_incc_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_not_equal_back_fail_decc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_greater_back_fail_decw_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_not_equal_back_fail_inv_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_equal_back_fail_decw_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_not_equal_back_fail_incc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_never_back_fail_incc_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_greater_back_fail_zero_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_less_back_fail_keep_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_not_equal_back_fail_repl_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_always_back_fail_zero_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_always_back_fail_wrap_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_equal_back_fail_repl_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_not_equal_back_fail_incc_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_less_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_back_fail_repl_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_never_back_fail_zero_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_never_back_fail_decc_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_inv_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_back_fail_zero_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_not_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_back_fail_keep_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_always_back_fail_decc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_not_equal_back_fail_zero_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_not_equal_back_fail_keep_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_less_back_fail_decw_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_back_fail_incc_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_less_back_fail_decc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_never_back_fail_decw_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_always_back_fail_decc_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_not_equal_back_fail_keep_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_always_back_fail_repl_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_never_back_fail_wrap_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_never_back_fail_keep_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_greater_back_fail_zero_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_not_equal_back_fail_inv_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_less_back_fail_keep_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_not_equal_back_fail_inv_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_equal_back_fail_decw_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_not_equal_back_fail_wrap_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_equal_back_fail_wrap_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_back_fail_inv_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_not_equal_back_fail_decc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_always_back_fail_decc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_back_fail_keep_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_greater_back_fail_decc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_back_fail_zero_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_not_equal_back_fail_incc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_not_equal_back_fail_zero_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_less_back_fail_decc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_not_equal_back_fail_incc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_always_back_fail_keep_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_incc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_not_equal_back_fail_zero_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_back_fail_repl_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_always_back_fail_repl_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_back_fail_incc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_less_back_fail_keep_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_never_back_fail_repl_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_always_back_fail_decw_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_greater_back_fail_inv_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_always_back_fail_decw_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decw_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_equal_back_fail_decw_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_keep_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_not_equal_back_fail_incc_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_equal_back_fail_decc_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_less_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_always_back_fail_wrap_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_less_back_fail_wrap_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_not_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_always_back_fail_decc_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_always_back_fail_inv_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_back_fail_zero_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_wrap_comp_equal_back_fail_incc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_decw_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_less_back_fail_decw_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_back_fail_keep_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_not_equal_back_fail_decc_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_zero_pass_repl_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_never_back_fail_wrap_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_greater_back_fail_decw_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_greater_back_fail_incc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decc_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_back_fail_decw_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_always_back_fail_decc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_always_back_fail_repl_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_decc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_not_equal_back_fail_decw_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_never_back_fail_wrap_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_never_back_fail_incc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_never_back_fail_decw_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_always_back_fail_repl_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_not_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_equal_back_fail_zero_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_always_back_fail_wrap_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_equal_back_fail_decc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_never_back_fail_wrap_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_incc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_always_back_fail_keep_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_greater_back_fail_decc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_greater_back_fail_wrap_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_always_back_fail_decw_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_greater_back_fail_inv_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_never_back_fail_zero_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_less_back_fail_decw_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_equal_back_fail_decw_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_not_equal_back_fail_keep_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_not_equal_back_fail_decw_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_equal_back_fail_keep_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_incc_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_never_back_fail_zero_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_always_back_fail_incc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_equal_back_fail_decc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_greater_back_fail_decw_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_less_back_fail_keep_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_never_back_fail_zero_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_back_fail_inv_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_always_back_fail_keep_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_less_back_fail_decw_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_less_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_back_fail_zero_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_never_back_fail_zero_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_equal_back_fail_zero_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_greater_back_fail_incc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_not_equal_back_fail_repl_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_less_back_fail_decw_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_not_equal_back_fail_wrap_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_not_equal_back_fail_decc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_equal_back_fail_keep_pass_decw_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_never_back_fail_wrap_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal_back_fail_keep_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_equal_back_fail_wrap_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_greater_back_fail_keep_pass_decw_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_less_back_fail_decc_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_incc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_never_back_fail_zero_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_less_back_fail_incc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_equal_back_fail_keep_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_not_equal_back_fail_inv_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_always_back_fail_zero_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_greater_back_fail_wrap_pass_keep_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_less_back_fail_incc_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_back_fail_repl_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_less_back_fail_repl_pass_inv_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_always_back_fail_inv_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_equal_back_fail_wrap_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_equal_back_fail_incc_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_equal_back_fail_zero_pass_decw_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_not_equal_back_fail_repl_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_equal_back_fail_keep_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_always_back_fail_inv_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_or_equal_back_fail_keep_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_always_back_fail_incc_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_less_back_fail_keep_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_not_equal_back_fail_inv_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_less_back_fail_decw_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_back_fail_decw_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_greater_back_fail_wrap_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal_back_fail_repl_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_back_fail_decc_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_greater_back_fail_repl_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_zero_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_not_equal_back_fail_keep_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_not_equal_back_fail_repl_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_not_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_less_back_fail_decw_pass_repl_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_back_fail_wrap_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_always_back_fail_wrap_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_back_fail_incc_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_not_equal_back_fail_incc_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_less_back_fail_zero_pass_wrap_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_equal_back_fail_zero_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_not_equal_back_fail_incc_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_not_equal_back_fail_repl_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_not_equal_back_fail_inv_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_always_back_fail_decc_pass_repl_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_greater_back_fail_inv_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_back_fail_wrap_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_less_back_fail_incc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_repl_comp_less_or_equal_back_fail_incc_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_less_back_fail_repl_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_not_equal_back_fail_zero_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_repl_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_back_fail_decw_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_never_back_fail_decw_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decc_comp_greater_back_fail_zero_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_less_or_equal_back_fail_zero_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_equal_back_fail_keep_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_back_fail_decw_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_less_back_fail_keep_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_greater_back_fail_incc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_not_equal_back_fail_decw_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_back_fail_decw_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_not_equal_back_fail_zero_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_not_equal_back_fail_wrap_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_never_back_fail_zero_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_equal_back_fail_decw_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_less_or_equal_back_fail_repl_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_equal_back_fail_decw_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decw_comp_always_back_fail_wrap_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_equal_back_fail_decw_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_always_back_fail_inv_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_or_equal_back_fail_incc_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_less_back_fail_decw_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_never_back_fail_inv_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_greater_back_fail_wrap_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_not_equal_back_fail_decw_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_not_equal_back_fail_repl_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_never_back_fail_keep_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_greater_back_fail_wrap_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_never_back_fail_decc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_equal_back_fail_incc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_always_back_fail_incc_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_less_back_fail_inv_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_or_equal_back_fail_inv_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_always_back_fail_zero_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_greater_back_fail_incc_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_less_back_fail_decc_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_equal_back_fail_wrap_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_not_equal_back_fail_inv_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_less_back_fail_incc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_keep_comp_less_back_fail_inv_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_not_equal_back_fail_decc_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_never_back_fail_decc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_greater_back_fail_repl_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_not_equal_back_fail_zero_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_back_fail_decw_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_not_equal_back_fail_repl_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_always_back_fail_repl_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_always_back_fail_decc_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_never_back_fail_keep_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_equal_back_fail_keep_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_never_back_fail_decw_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_not_equal_back_fail_repl_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_back_fail_decc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_less_or_equal_back_fail_zero_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_back_fail_decc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_back_fail_zero_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_never_back_fail_decc_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_not_equal_back_fail_decc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_always_back_fail_inv_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_not_equal_back_fail_decc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decc_comp_less_back_fail_decw_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_back_fail_zero_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_equal_back_fail_decc_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decw_comp_never_back_fail_decc_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_not_equal_back_fail_decc_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_greater_back_fail_wrap_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_always_back_fail_wrap_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_never_back_fail_decw_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_always_back_fail_decc_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_back_fail_inv_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_incc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_equal_back_fail_decw_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_equal_back_fail_repl_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_incc_comp_equal_back_fail_wrap_pass_decc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_greater_back_fail_keep_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decc_comp_never_back_fail_repl_pass_decc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_decc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_equal_back_fail_decc_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_equal_back_fail_inv_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_not_equal_back_fail_keep_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_incc_comp_less_or_equal_back_fail_keep_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_back_fail_inv_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_equal_back_fail_zero_pass_wrap_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal_back_fail_keep_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_equal_back_fail_wrap_pass_repl_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_zero_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_not_equal_back_fail_keep_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_less_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_greater_back_fail_decw_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_equal_back_fail_inv_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_back_fail_decc_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_less_back_fail_inv_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_greater_back_fail_zero_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_back_fail_decc_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_never_back_fail_keep_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_back_fail_inv_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_or_equal_back_fail_zero_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_not_equal_back_fail_zero_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_equal_back_fail_incc_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_always_back_fail_inv_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decw_comp_less_back_fail_keep_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_greater_back_fail_repl_pass_decw_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_greater_back_fail_repl_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_not_equal_back_fail_repl_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_greater_back_fail_inv_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decc_comp_not_equal_back_fail_wrap_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_inv_comp_greater_back_fail_decw_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_never_back_fail_inv_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_incc_comp_greater_back_fail_inv_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_less_back_fail_decc_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_not_equal_back_fail_zero_pass_wrap_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_wrap_comp_never_back_fail_wrap_pass_keep_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_not_equal_back_fail_zero_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_not_equal_back_fail_inv_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_zero_comp_never_back_fail_keep_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_decc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal_back_fail_incc_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_always_back_fail_keep_pass_repl_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_equal_back_fail_keep_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_equal_back_fail_wrap_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_not_equal_back_fail_decc_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_equal_back_fail_wrap_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_equal_back_fail_repl_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_or_equal_back_fail_zero_pass_incc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_not_equal_back_fail_keep_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_zero_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_or_equal_back_fail_keep_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_decw_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_less_back_fail_zero_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_not_equal_back_fail_inv_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_equal_back_fail_incc_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_not_equal_back_fail_inv_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_less_or_equal_back_fail_incc_pass_zero_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_equal_back_fail_repl_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_always_back_fail_incc_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_less_back_fail_incc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_greater_back_fail_inv_pass_repl_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decw_comp_always_back_fail_decc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_back_fail_zero_pass_keep_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_not_equal_back_fail_inv_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_incc_comp_equal_back_fail_keep_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_keep_comp_always_back_fail_repl_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_not_equal_back_fail_inv_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decw_comp_greater_back_fail_decc_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_equal_back_fail_inv_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_keep_comp_not_equal_back_fail_decw_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_equal_back_fail_inv_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_not_equal_back_fail_repl_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_wrap_comp_never_back_fail_repl_pass_zero_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_equal_back_fail_keep_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_less_back_fail_decw_pass_keep_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_equal_back_fail_decc_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_zero_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_equal_back_fail_zero_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_equal_back_fail_keep_pass_keep_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_less_back_fail_wrap_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_never_back_fail_incc_pass_keep_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_not_equal_back_fail_keep_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_keep_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_not_equal_back_fail_repl_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_less_back_fail_repl_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_or_equal_back_fail_zero_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_less_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_always_back_fail_repl_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_repl_comp_less_back_fail_zero_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_back_fail_inv_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_repl_comp_always_back_fail_decw_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_equal_back_fail_repl_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_always_back_fail_keep_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_greater_back_fail_decw_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_always_back_fail_decw_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_keep_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_incc_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_equal_back_fail_keep_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_never_back_fail_keep_pass_inv_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_less_back_fail_repl_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_greater_back_fail_inv_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_less_back_fail_decc_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_greater_back_fail_keep_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_wrap_comp_equal_back_fail_incc_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal_back_fail_decc_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_equal_back_fail_wrap_pass_zero_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_not_equal_back_fail_inv_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_wrap_comp_not_equal_back_fail_wrap_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_less_back_fail_zero_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_repl_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_always_back_fail_incc_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_repl_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_equal_back_fail_incc_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_less_back_fail_keep_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_or_equal_back_fail_inv_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decc_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decc_comp_not_equal_back_fail_decc_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_less_back_fail_repl_pass_wrap_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_greater_back_fail_repl_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_wrap_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_greater_back_fail_zero_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_never_back_fail_repl_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_never_back_fail_inv_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_keep_comp_less_back_fail_decw_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_not_equal_back_fail_keep_pass_incc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_always_back_fail_keep_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_back_fail_decc_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_equal_back_fail_wrap_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_zero_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_incc_comp_never_back_fail_incc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal_back_fail_incc_pass_decw_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_not_equal_back_fail_decc_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_always_back_fail_wrap_pass_keep_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_equal_back_fail_decw_pass_keep_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_wrap_comp_equal_back_fail_keep_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_greater_back_fail_keep_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_always_back_fail_decw_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_always_back_fail_decc_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_less_back_fail_zero_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_never_back_fail_zero_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_always_back_fail_keep_pass_wrap_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_less_back_fail_decw_pass_decc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_zero_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_wrap_comp_never_back_fail_incc_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_keep_comp_always_back_fail_incc_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal_back_fail_decc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_always_back_fail_wrap_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_always_back_fail_inv_pass_wrap_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_never_back_fail_zero_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_greater_back_fail_keep_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_keep_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_repl_comp_equal_back_fail_inv_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_or_equal_back_fail_decc_pass_inv_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_equal_back_fail_decc_pass_decc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_equal_back_fail_decc_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_equal_back_fail_keep_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_greater_back_fail_keep_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_never_back_fail_keep_pass_repl_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_not_equal_back_fail_decw_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_not_equal_back_fail_keep_pass_decc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_decc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_inv_comp_less_back_fail_keep_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_back_fail_zero_pass_incc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decw_comp_not_equal_back_fail_decw_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decw_comp_always_back_fail_zero_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_keep_comp_less_back_fail_decc_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decc_comp_not_equal_back_fail_keep_pass_inv_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_decw_comp_less_back_fail_repl_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_less_or_equal_back_fail_zero_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_repl_comp_less_back_fail_incc_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_or_equal_back_fail_zero_pass_repl_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_always_back_fail_decw_pass_decw_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_always_back_fail_decc_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_back_fail_decc_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_not_equal_back_fail_keep_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_less_back_fail_wrap_pass_wrap_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_keep_comp_always_back_fail_zero_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_never_back_fail_keep_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_equal_back_fail_incc_pass_zero_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_greater_back_fail_incc_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_inv_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_never_back_fail_decc_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_wrap_comp_equal_back_fail_repl_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_never_back_fail_decc_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_incc_comp_less_or_equal_back_fail_decw_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_equal_back_fail_inv_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_incc_comp_equal_back_fail_repl_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_always_back_fail_inv_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_equal_back_fail_repl_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_always_back_fail_repl_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_decc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_back_fail_inv_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_greater_back_fail_incc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_never_back_fail_inv_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_never_back_fail_wrap_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decw_comp_less_back_fail_decw_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_always_back_fail_decc_pass_decc_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_back_fail_inv_pass_zero_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal_back_fail_decc_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_equal_back_fail_incc_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_incc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_not_equal_back_fail_incc_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_never_back_fail_wrap_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_keep_comp_never_back_fail_incc_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_never_back_fail_decc_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_never_back_fail_repl_pass_incc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_always_back_fail_repl_pass_inv_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_greater_back_fail_zero_pass_incc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_wrap_comp_greater_back_fail_wrap_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_never_back_fail_decc_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_zero_comp_always_back_fail_zero_pass_inv_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_repl_comp_not_equal_back_fail_wrap_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decw_comp_never_back_fail_zero_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_not_equal_back_fail_incc_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_never_back_fail_zero_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_zero_comp_less_back_fail_keep_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_always_back_fail_decc_pass_wrap_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_back_fail_decc_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_greater_back_fail_decw_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_less_back_fail_decc_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_greater_back_fail_inv_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_always_back_fail_zero_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_not_equal_back_fail_repl_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_greater_back_fail_keep_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_always_back_fail_decc_pass_keep_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_less_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_never_back_fail_wrap_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_decc_comp_less_or_equal_back_fail_inv_pass_zero_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_equal_back_fail_incc_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_always_back_fail_zero_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_less_back_fail_repl_pass_zero_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_always_back_fail_repl_pass_wrap_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_equal_back_fail_zero_pass_repl_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_incc_comp_never_back_fail_keep_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_decw_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decw_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_less_or_equal_back_fail_repl_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_never_back_fail_zero_pass_incc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_inv_comp_equal_back_fail_keep_pass_zero_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_less_back_fail_wrap_pass_repl_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_always_back_fail_decw_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_less_or_equal_back_fail_inv_pass_zero_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_greater_back_fail_keep_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_equal_back_fail_zero_pass_keep_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decw_comp_always_back_fail_decc_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_not_equal_back_fail_repl_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_always_back_fail_repl_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_not_equal_back_fail_zero_pass_inv_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decw_comp_not_equal_back_fail_keep_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_zero_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_decw_comp_never_back_fail_decw_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_decc_comp_equal_back_fail_zero_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_not_equal_back_fail_keep_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_never_back_fail_wrap_pass_inv_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decc_comp_less_back_fail_decc_pass_decc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_equal_back_fail_decw_pass_repl_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_equal_back_fail_keep_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_zero_comp_not_equal_back_fail_decc_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_zero_comp_never_back_fail_decw_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_repl_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_repl_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_not_equal_back_fail_decc_pass_inv_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_greater_back_fail_wrap_pass_decw_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_equal_back_fail_inv_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_wrap_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_inv_comp_never_back_fail_decc_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_not_equal_back_fail_incc_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_not_equal_back_fail_decw_pass_keep_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_repl_comp_not_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_keep_comp_not_equal_back_fail_decc_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_equal_back_fail_keep_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_never_back_fail_inv_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_always_back_fail_keep_pass_decw_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_always_back_fail_keep_pass_inv_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_equal_back_fail_inv_pass_keep_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_zero_comp_not_equal_back_fail_incc_pass_repl_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decc_comp_less_back_fail_repl_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_always_back_fail_repl_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_less_back_fail_repl_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_greater_back_fail_wrap_pass_inv_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_incc_comp_always_back_fail_keep_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_or_equal_back_fail_inv_pass_repl_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_equal_back_fail_incc_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_less_back_fail_zero_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_equal_back_fail_inv_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_back_fail_keep_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_equal_back_fail_inv_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_greater_back_fail_decc_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_decc_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_never_back_fail_inv_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_keep_comp_greater_back_fail_keep_pass_incc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_never_back_fail_inv_pass_decw_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_greater_back_fail_keep_pass_decw_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_equal_back_fail_incc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_repl_comp_never_back_fail_wrap_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_inv_comp_greater_back_fail_inv_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_inv_comp_always_back_fail_incc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_less_or_equal_back_fail_repl_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_repl_comp_never_back_fail_zero_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_not_equal_back_fail_incc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_decw_comp_less_back_fail_keep_pass_repl_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_repl_pass_zero_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_equal_back_fail_zero_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_never_back_fail_zero_pass_inv_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_not_equal_back_fail_inv_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_keep_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_equal_back_fail_incc_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_decc_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_greater_back_fail_keep_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_keep_comp_less_back_fail_decc_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_never_back_fail_keep_pass_zero_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_not_equal_back_fail_zero_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_greater_back_fail_zero_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_keep_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_inv_comp_not_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_inv_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_greater_back_fail_zero_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_wrap_comp_less_back_fail_decc_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_inv_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_not_equal_back_fail_incc_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_incc_comp_never_back_fail_wrap_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_back_fail_zero_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_equal_back_fail_decw_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_not_equal_back_fail_repl_pass_incc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_inv_comp_never_back_fail_repl_pass_repl_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_not_equal_back_fail_decc_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_inv_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_repl_comp_less_back_fail_repl_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_less_back_fail_keep_pass_incc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_always_back_fail_zero_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_zero_comp_equal_back_fail_inv_pass_decc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_always_back_fail_incc_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_greater_back_fail_keep_pass_decc_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_inv_comp_greater_back_fail_decc_pass_repl_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_never_back_fail_wrap_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_wrap_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_zero_comp_less_back_fail_decc_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_zero_comp_equal_back_fail_keep_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_back_fail_inv_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_equal_back_fail_decw_pass_decw_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_inv_comp_never_back_fail_inv_pass_wrap_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_wrap_comp_not_equal_back_fail_zero_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_repl_comp_equal_back_fail_repl_pass_decw_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_inv_comp_less_or_equal_back_fail_decw_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_not_equal_back_fail_decw_pass_zero_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_repl_pass_decw_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_greater_back_fail_inv_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_incc_comp_always_back_fail_repl_pass_inv_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_equal_back_fail_keep_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_never_back_fail_decw_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_equal_back_fail_keep_pass_decc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_less_back_fail_repl_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_wrap_comp_equal_back_fail_zero_pass_inv_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal_back_fail_zero_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_not_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_incc_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_back_fail_incc_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_keep_comp_not_equal_back_fail_wrap_pass_incc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_repl_comp_always_back_fail_incc_pass_decw_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_zero_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_wrap_comp_never_back_fail_decc_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_inv_comp_never_back_fail_incc_pass_decc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal_back_fail_inv_pass_repl_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_decc_comp_never_back_fail_wrap_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_zero_comp_never_back_fail_decc_pass_zero_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_repl_comp_greater_back_fail_incc_pass_keep_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_never_back_fail_inv_pass_keep_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_greater_back_fail_decc_pass_incc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_wrap_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_inv_comp_always_back_fail_wrap_pass_incc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decc_pass_decc_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_incc_comp_equal_back_fail_wrap_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_equal_back_fail_decw_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_always_back_fail_repl_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decc_comp_greater_back_fail_incc_pass_zero_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_equal_back_fail_decc_pass_decw_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_decw_comp_less_back_fail_zero_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_repl_comp_less_or_equal_back_fail_decw_pass_repl_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_zero_comp_always_back_fail_incc_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_keep_comp_not_equal_back_fail_decc_pass_decw_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_always_back_fail_incc_pass_zero_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_less_back_fail_inv_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_never_back_fail_repl_pass_decw_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_keep_pass_keep_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_zero_comp_not_equal_back_fail_decc_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_wrap_comp_equal_back_fail_decc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_wrap_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal_back_fail_inv_pass_zero_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_incc_comp_never_back_fail_inv_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_less_back_fail_decc_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_keep_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_decw_comp_equal_back_fail_repl_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_keep_comp_never_back_fail_inv_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_keep_comp_greater_back_fail_repl_pass_incc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_decc_comp_never_back_fail_decw_pass_zero_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_always_back_fail_incc_pass_repl_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_incc_comp_not_equal_back_fail_wrap_pass_keep_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_greater_back_fail_keep_pass_decw_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decc_comp_not_equal_back_fail_incc_pass_decw_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_repl_comp_less_or_equal_back_fail_repl_pass_wrap_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_less_or_equal_back_fail_keep_pass_inv_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_not_equal_back_fail_repl_pass_repl_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_decw_comp_never_back_fail_wrap_pass_wrap_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_zero_comp_less_back_fail_decc_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_greater_back_fail_keep_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decw_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_less_back_fail_zero_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_less_back_fail_keep_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_repl_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal_back_fail_inv_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_not_equal_back_fail_wrap_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_equal_back_fail_repl_pass_inv_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_greater_back_fail_zero_pass_zero_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal_back_fail_decc_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_equal_back_fail_zero_pass_keep_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_wrap_comp_always_back_fail_repl_pass_repl_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decc_comp_never_back_fail_repl_pass_wrap_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_inv_comp_not_equal_back_fail_repl_pass_inv_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_never_back_fail_zero_pass_repl_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_never_back_fail_decw_pass_incc_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_decc_pass_inv_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_repl_comp_never_back_fail_decw_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_equal_back_fail_wrap_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_equal_back_fail_decc_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_repl_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_never_back_fail_keep_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_keep_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_zero_comp_always_back_fail_zero_pass_wrap_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_repl_comp_always_back_fail_decw_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_not_equal_back_fail_decw_pass_inv_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_inv_comp_equal_back_fail_zero_pass_wrap_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_equal_back_fail_decc_pass_wrap_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_decw_comp_never_back_fail_inv_pass_decw_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_keep_comp_less_back_fail_wrap_pass_decc_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_zero_comp_never_back_fail_inv_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_incc_comp_never_back_fail_incc_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_keep_comp_less_or_equal_back_fail_keep_pass_keep_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decw_comp_not_equal_back_fail_repl_pass_decw_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_never_back_fail_incc_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_always_back_fail_decw_pass_repl_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_zero_comp_less_or_equal_back_fail_decw_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_less_back_fail_repl_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_inv_comp_equal_back_fail_zero_pass_zero_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_decc_comp_less_back_fail_inv_pass_decw_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_keep_comp_not_equal_back_fail_incc_pass_zero_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_never_back_fail_keep_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal_back_fail_zero_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_not_equal_back_fail_wrap_pass_keep_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_incc_pass_decc_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_equal_back_fail_incc_pass_keep_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decw_comp_always_back_fail_incc_pass_keep_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_zero_comp_always_back_fail_repl_pass_repl_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal_back_fail_wrap_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_incc_dfail_decw_comp_always_back_fail_zero_pass_incc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_keep_comp_equal_back_fail_keep_pass_incc_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_repl_comp_equal_back_fail_decw_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_less_or_equal_back_fail_repl_pass_decw_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decw_comp_less_or_equal_back_fail_decw_pass_zero_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decc_dfail_inv_comp_greater_back_fail_decw_pass_zero_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_zero_pass_decc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_repl_comp_never_back_fail_decc_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_keep_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_zero_comp_always_back_fail_wrap_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_always_back_fail_incc_pass_decc_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_inv_comp_greater_back_fail_repl_pass_inv_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_less_back_fail_decw_pass_incc_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_not_equal_back_fail_repl_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_keep_comp_equal_back_fail_inv_pass_zero_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_never_back_fail_repl_pass_inv_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_repl_comp_less_or_equal_back_fail_decc_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_keep_comp_less_back_fail_inv_pass_zero_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal_back_fail_zero_pass_repl_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_repl_comp_always_back_fail_keep_pass_wrap_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_repl_comp_less_back_fail_wrap_pass_zero_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_equal_back_fail_decc_pass_decw_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_less_back_fail_inv_pass_decw_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_decw_comp_less_back_fail_decw_pass_decc_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_greater_back_fail_repl_pass_wrap_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal_back_fail_wrap_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal_back_fail_zero_pass_incc_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_incc_dfail_incc_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_repl_comp_less_back_fail_inv_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_equal_back_fail_decw_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_incc_dfail_repl_comp_never_back_fail_incc_pass_keep_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_decc_comp_less_or_equal_back_fail_wrap_pass_incc_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_keep_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_never_back_fail_decw_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_back_fail_decc_pass_inv_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_decc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_inv_comp_less_back_fail_zero_pass_inv_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_always_back_fail_inv_pass_keep_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_repl_comp_greater_back_fail_incc_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_not_equal_back_fail_wrap_pass_wrap_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_always_back_fail_zero_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_keep_comp_equal_back_fail_repl_pass_wrap_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_keep_comp_never_back_fail_decw_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_less_or_equal_back_fail_repl_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_always_back_fail_decw_pass_incc_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_inv_comp_greater_back_fail_incc_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_never_back_fail_repl_pass_inv_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal_back_fail_keep_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_inv_comp_greater_back_fail_wrap_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decc_comp_less_back_fail_inv_pass_zero_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_zero_comp_less_back_fail_decc_pass_incc_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal_back_fail_decw_pass_repl_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_wrap_pass_keep_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_keep_pass_decc_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_less_or_equal_back_fail_repl_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_zero_comp_greater_back_fail_incc_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_inv_comp_never_back_fail_wrap_pass_decw_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_wrap_comp_less_back_fail_wrap_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_greater_back_fail_repl_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_equal_back_fail_repl_pass_incc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal_back_fail_incc_pass_incc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_inv_comp_less_back_fail_wrap_pass_decc_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_decw_comp_equal_back_fail_inv_pass_inv_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_always_back_fail_zero_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_decw_comp_greater_back_fail_repl_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decw_comp_always_back_fail_keep_pass_decc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_keep_comp_never_back_fail_inv_pass_repl_dfail_decw_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_wrap_comp_equal_back_fail_zero_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_wrap_comp_never_back_fail_repl_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_less_back_fail_inv_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_keep_dfail_inv_comp_never_back_fail_decc_pass_inv_dfail_decw_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_keep_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_never_back_fail_incc_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decw_comp_not_equal_back_fail_decw_pass_decw_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_incc_comp_greater_back_fail_inv_pass_wrap_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_never_back_fail_zero_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_wrap_comp_greater_back_fail_incc_pass_wrap_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_wrap_comp_greater_back_fail_keep_pass_repl_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_decw_comp_less_or_equal_back_fail_wrap_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_not_equal_back_fail_inv_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_inv_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_back_fail_repl_pass_wrap_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_keep_comp_less_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_never_back_fail_decw_pass_incc_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_decc_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_decc_pass_wrap_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_zero_comp_equal_back_fail_inv_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_decc_comp_less_or_equal_back_fail_incc_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_incc_comp_not_equal_back_fail_incc_pass_decw_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal_back_fail_inv_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_zero_comp_less_or_equal_back_fail_zero_pass_incc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_always_back_fail_decc_pass_inv_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_repl_comp_never_back_fail_repl_pass_incc_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_always_back_fail_inv_pass_inv_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_repl_comp_equal_back_fail_decc_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal_back_fail_decw_pass_zero_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_keep_comp_always_back_fail_decc_pass_repl_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_zero_comp_not_equal_back_fail_wrap_pass_inv_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_never_back_fail_keep_pass_repl_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_incc_comp_always_back_fail_zero_pass_decw_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_greater_back_fail_repl_pass_incc_dfail_decc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_zero_comp_less_back_fail_decw_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_decc_comp_greater_back_fail_inv_pass_keep_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal_back_fail_repl_pass_incc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_zero_comp_always_back_fail_decw_pass_wrap_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal_back_fail_zero_pass_inv_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_or_equal_back_fail_wrap_pass_decc_dfail_keep_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_wrap_comp_greater_back_fail_repl_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_zero_comp_equal_back_fail_incc_pass_keep_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_incc_comp_never_back_fail_repl_pass_repl_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_repl_comp_greater_back_fail_wrap_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal_back_fail_keep_pass_zero_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_equal_back_fail_wrap_pass_decw_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_inv_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_repl_comp_equal_back_fail_decw_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_keep_comp_never_back_fail_repl_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_keep_comp_not_equal_back_fail_decw_pass_decc_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_repl_comp_greater_back_fail_decc_pass_decc_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_decw_comp_less_or_equal_back_fail_decw_pass_repl_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_decw_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_incc_comp_less_or_equal_back_fail_decw_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_greater_back_fail_inv_pass_keep_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_not_equal_back_fail_decw_pass_incc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_incc_comp_less_back_fail_incc_pass_inv_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_repl_comp_always_back_fail_repl_pass_incc_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_equal_back_fail_wrap_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_decw_comp_never_back_fail_decc_pass_decc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_repl_comp_less_back_fail_zero_pass_decw_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_not_equal_back_fail_repl_pass_zero_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal_back_fail_decc_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_incc_comp_greater_back_fail_wrap_pass_repl_dfail_decc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_inv_comp_equal_back_fail_decw_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_zero_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_less_back_fail_decc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal_back_fail_incc_pass_decc_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_less_back_fail_incc_pass_decw_dfail_incc_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_inv_comp_less_back_fail_inv_pass_decw_dfail_decc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal_back_fail_keep_pass_zero_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_decw_comp_not_equal_back_fail_incc_pass_decc_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_never_back_fail_incc_pass_incc_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_zero_comp_less_or_equal_back_fail_repl_pass_decc_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_greater_back_fail_decc_pass_zero_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_greater_back_fail_repl_pass_inv_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_wrap_comp_always_back_fail_repl_pass_zero_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_incc_comp_greater_back_fail_repl_pass_inv_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_wrap_comp_always_back_fail_wrap_pass_inv_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal_back_fail_inv_pass_decc_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_repl_comp_always_back_fail_zero_pass_decw_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_inv_comp_always_back_fail_repl_pass_repl_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_greater_back_fail_zero_pass_zero_dfail_inv_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_zero_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_never_back_fail_wrap_pass_keep_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_repl_comp_equal_back_fail_zero_pass_repl_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_keep_dfail_keep_comp_equal_back_fail_keep_pass_wrap_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_never_back_fail_decc_pass_keep_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_equal_back_fail_wrap_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_repl_dfail_incc_comp_equal_back_fail_inv_pass_decc_dfail_inv_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_keep_comp_always_back_fail_zero_pass_repl_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decw_comp_not_equal_back_fail_wrap_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_repl_comp_always_back_fail_decc_pass_inv_dfail_decw_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_zero_comp_never_back_fail_decc_pass_decc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_wrap_comp_never_back_fail_inv_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_incc_comp_equal_back_fail_repl_pass_keep_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_keep_comp_equal_back_fail_repl_pass_zero_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal_back_fail_zero_pass_decw_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_keep_comp_never_back_fail_keep_pass_inv_dfail_zero_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_keep_comp_greater_back_fail_wrap_pass_zero_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_inv_comp_less_or_equal_back_fail_repl_pass_repl_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal_back_fail_decw_pass_inv_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_decw_comp_greater_back_fail_inv_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_never_back_fail_decw_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_wrap_comp_equal_back_fail_zero_pass_decw_dfail_repl_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decw_pass_incc_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_zero_dfail_incc_comp_never_back_fail_incc_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_incc_comp_not_equal_back_fail_decc_pass_zero_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_decc_comp_greater_back_fail_decc_pass_incc_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decw_comp_equal_back_fail_zero_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_decw_comp_equal_back_fail_decw_pass_decc_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decw_dfail_wrap_comp_less_back_fail_wrap_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_wrap_comp_never_back_fail_incc_pass_decw_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_zero_dfail_wrap_comp_always_back_fail_keep_pass_wrap_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_incc_comp_always_back_fail_inv_pass_zero_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_inv_dfail_zero_comp_not_equal_back_fail_repl_pass_keep_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_inv_comp_never_back_fail_inv_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_never_back_fail_inv_pass_wrap_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_zero_dfail_decw_comp_greater_back_fail_decw_pass_decc_dfail_decc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_zero_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_less_back_fail_decc_pass_repl_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_inv_comp_not_equal_back_fail_repl_pass_incc_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_repl_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_zero_comp_equal_back_fail_zero_pass_zero_dfail_repl_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_zero_comp_greater_back_fail_repl_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decc_comp_equal_back_fail_decc_pass_repl_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_decc_comp_greater_back_fail_wrap_pass_wrap_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_always_back_fail_decw_pass_decc_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_zero_comp_never_back_fail_keep_pass_decw_dfail_wrap_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_never_back_fail_decw_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decw_dfail_zero_comp_equal_back_fail_decw_pass_inv_dfail_incc_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_zero_dfail_zero_comp_never_back_fail_repl_pass_decw_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_always_back_fail_zero_pass_zero_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_inv_comp_not_equal_back_fail_decc_pass_incc_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_zero_dfail_wrap_comp_not_equal_back_fail_wrap_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_keep_comp_less_back_fail_incc_pass_zero_dfail_wrap_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_decc_comp_always_back_fail_repl_pass_keep_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_keep_dfail_decc_comp_equal_back_fail_wrap_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_greater_back_fail_zero_pass_keep_dfail_decc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_decc_comp_always_back_fail_decw_pass_incc_dfail_zero_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_equal_back_fail_incc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_zero_dfail_wrap_comp_less_back_fail_decw_pass_incc_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_zero_comp_equal_back_fail_repl_pass_zero_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_incc_dfail_keep_comp_always_back_fail_wrap_pass_wrap_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decw_dfail_decc_comp_always_back_fail_zero_pass_incc_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_inv_dfail_incc_comp_less_or_equal_back_fail_inv_pass_decw_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_decw_comp_greater_back_fail_decw_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_keep_dfail_decw_comp_equal_back_fail_decc_pass_incc_dfail_decw_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal_back_fail_incc_pass_keep_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_inv_comp_less_or_equal_back_fail_decw_pass_decc_dfail_repl_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_zero_comp_less_back_fail_decw_pass_repl_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_inv_comp_never_back_fail_decw_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal_back_fail_keep_pass_inv_dfail_inv_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_inv_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_wrap_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_incc_comp_equal_back_fail_zero_pass_inv_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_wrap_comp_equal_back_fail_incc_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_inv_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_wrap_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_keep_dfail_repl_comp_equal_back_fail_incc_pass_incc_dfail_inv_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_keep_comp_not_equal_back_fail_keep_pass_keep_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_wrap_comp_greater_back_fail_wrap_pass_repl_dfail_keep_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decw_dfail_incc_comp_less_or_equal_back_fail_keep_pass_decw_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_keep_dfail_decw_comp_less_or_equal_back_fail_decc_pass_decw_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_decc_comp_not_equal_back_fail_inv_pass_keep_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_incc_comp_greater_back_fail_decc_pass_decc_dfail_decc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_incc_dfail_repl_comp_greater_back_fail_keep_pass_repl_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal_back_fail_repl_pass_incc_dfail_repl_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal_back_fail_repl_pass_decc_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_decc_pass_decc_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_inv_dfail_decw_comp_less_or_equal_back_fail_keep_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_repl_dfail_incc_comp_not_equal_back_fail_keep_pass_inv_dfail_decw_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_inv_dfail_zero_comp_not_equal_back_fail_decw_pass_repl_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_zero_comp_less_back_fail_repl_pass_inv_dfail_zero_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_wrap_dfail_keep_comp_equal_back_fail_zero_pass_decw_dfail_zero_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_keep_comp_never_back_fail_keep_pass_wrap_dfail_keep_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_incc_dfail_repl_comp_not_equal_back_fail_keep_pass_repl_dfail_keep_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_less_back_fail_incc_pass_incc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_zero_comp_always_back_fail_keep_pass_decw_dfail_keep_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_repl_comp_less_back_fail_decw_pass_zero_dfail_zero_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal_back_fail_incc_pass_inv_dfail_repl_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal_back_fail_inv_pass_wrap_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_inv_comp_not_equal_back_fail_wrap_pass_inv_dfail_wrap_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_equal_back_fail_repl_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_greater_back_fail_incc_pass_decc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_wrap_pass_zero_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_less_or_equal_back_fail_wrap_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_inv_comp_greater_back_fail_incc_pass_inv_dfail_incc_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_decc_dfail_incc_comp_always_back_fail_keep_pass_decw_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_decc_dfail_incc_comp_equal_back_fail_decc_pass_decc_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_incc_comp_less_back_fail_repl_pass_decc_dfail_incc_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_wrap_dfail_wrap_comp_less_back_fail_incc_pass_repl_dfail_incc_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_zero_comp_equal_back_fail_inv_pass_wrap_dfail_wrap_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_inv_comp_less_back_fail_wrap_pass_zero_dfail_zero_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_decw_dfail_repl_comp_always_back_fail_wrap_pass_wrap_dfail_inv_comp_less
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_keep_dfail_inv_comp_not_equal_back_fail_zero_pass_decw_dfail_repl_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_decw_comp_equal_back_fail_repl_pass_keep_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_zero_dfail_incc_comp_always_back_fail_wrap_pass_wrap_dfail_decw_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_decc_comp_always_back_fail_wrap_pass_zero_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_decc_dfail_repl_comp_not_equal_back_fail_decc_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_decc_comp_always_back_fail_incc_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_repl_dfail_decw_comp_never_back_fail_inv_pass_repl_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_zero_dfail_decc_comp_greater_back_fail_repl_pass_repl_dfail_decw_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_repl_comp_not_equal_back_fail_decw_pass_zero_dfail_decc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal_back_fail_inv_pass_decc_dfail_repl_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_inv_dfail_decc_comp_always_back_fail_wrap_pass_keep_dfail_keep_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_zero_pass_wrap_dfail_keep_comp_greater_back_fail_decc_pass_inv_dfail_decc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_incc_comp_greater_back_fail_zero_pass_wrap_dfail_keep_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_repl_dfail_inv_comp_greater_back_fail_repl_pass_wrap_dfail_incc_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_wrap_dfail_zero_comp_never_back_fail_wrap_pass_repl_dfail_inv_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_repl_dfail_zero_comp_less_or_equal_back_fail_incc_pass_wrap_dfail_zero_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_repl_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_wrap_dfail_keep_comp_always_back_fail_decw_pass_decw_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_wrap_dfail_incc_comp_always_back_fail_decw_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_repl_dfail_wrap_comp_never_back_fail_wrap_pass_wrap_dfail_incc_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decw_pass_incc_dfail_zero_comp_less_or_equal_back_fail_inv_pass_repl_dfail_wrap_comp_never
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_incc_dfail_repl_comp_equal_back_fail_decw_pass_incc_dfail_zero_comp_less_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_wrap_pass_decc_dfail_wrap_comp_greater_back_fail_decc_pass_incc_dfail_repl_comp_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decw_dfail_keep_comp_always_back_fail_wrap_pass_decc_dfail_wrap_comp_greater
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_incc_pass_inv_dfail_incc_comp_not_equal_back_fail_keep_pass_decw_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal_back_fail_incc_pass_inv_dfail_incc_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_repl_pass_wrap_dfail_keep_comp_always_back_fail_keep_pass_repl_dfail_inv_comp_greater_or_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_inv_pass_decc_dfail_inv_comp_not_equal_back_fail_repl_pass_wrap_dfail_keep_comp_always
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_keep_pass_decc_dfail_zero_comp_less_back_fail_inv_pass_decc_dfail_inv_comp_not_equal
 +dEQP-VK.pipeline.stencil.format.d32_sfloat_s8_uint.states.front_fail_decc_pass_repl_dfail_keep_comp_less_back_fail_keep_pass_decc_dfail_zero_comp_less
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4_unorm_pack8.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r4g4b4a4_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g6b5_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r5g5b5a1_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r8g8b8a8_srgb.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.a2r10g10b10_unorm_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_unorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_snorm.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r16g16b16a16_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.r32g32b32a32_sfloat.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b10g11r11_ufloat_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.e5b9g9r9_ufloat_pack32.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_z_sub_alpha_ca_cc_sub-color_sas_1msa_rsub_alpha_ca_da_sub-color_1mca_ca_min_alpha_1msc_1mcc_rsub-color_dc_da_sub_alpha_1mcc_1mda_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_cc_min_alpha_sc_cc_min-color_o_o_min_alpha_1msc_1msc_add-color_sas_da_add_alpha_1mdc_ca_add-color_cc_1mda_sub_alpha_dc_1mda_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_dc_add_alpha_o_1msc_add-color_sas_1mdc_max_alpha_sa_1msc_min-color_dc_sas_min_alpha_1mcc_cc_sub-color_z_1mda_add_alpha_o_1mdc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b4g4r4a4_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_sas_rsub_alpha_1mdc_1msc_sub-color_1msa_1msc_add_alpha_ca_da_min-color_1msc_da_sub_alpha_1mca_ca_sub-color_o_1mda_max_alpha_sa_dc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mda_rsub_alpha_1mda_1mcc_sub-color_1mda_1mca_min_alpha_o_cc_min-color_1mdc_da_min_alpha_1mda_da_min-color_sas_1msa_max_alpha_sas_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_1mcc_rsub_alpha_sa_1msc_rsub-color_1mca_ca_rsub_alpha_1msc_da_rsub-color_1mcc_1mdc_sub_alpha_z_da_sub-color_sc_dc_add_alpha_1mdc_1msa_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_dc_rsub_alpha_1mdc_1mcc_sub-color_sc_cc_min_alpha_sa_1mcc_max-color_z_cc_rsub_alpha_da_1msa_max-color_1msc_1msc_add_alpha_1mca_sc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_cc_max_alpha_z_ca_add-color_da_sa_max_alpha_1msa_sc_sub-color_sa_1mda_add_alpha_1mcc_ca_rsub-color_dc_dc_add_alpha_1mcc_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1mdc_max_alpha_1mcc_1msc_max-color_1msa_cc_add_alpha_da_z_min-color_sas_dc_max_alpha_z_sc_min-color_da_ca_rsub_alpha_z_z_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_o_sub_alpha_1mca_z_min-color_1mcc_ca_max_alpha_1msa_1mcc_max-color_cc_1mda_rsub_alpha_1mca_sa_max-color_1mcc_da_sub_alpha_o_1mda_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_1mcc_sub_alpha_sa_dc_rsub-color_dc_1mdc_min_alpha_1mca_sas_rsub-color_1mda_o_min_alpha_1mca_sa_max-color_1mca_1msa_add_alpha_1mca_1msa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mca_max_alpha_o_dc_max-color_sas_sa_max_alpha_sc_z_rsub-color_ca_sc_max_alpha_1msc_1msc_add-color_sc_o_add_alpha_dc_1mda_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sa_sub_alpha_ca_1mdc_min-color_1msa_1mcc_sub_alpha_1msa_z_add-color_dc_da_sub_alpha_o_ca_max-color_1mcc_o_sub_alpha_dc_sas_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_dc_max_alpha_1mca_da_sub-color_1mdc_sa_sub_alpha_1mda_cc_max-color_1msc_sc_rsub_alpha_sa_1msa_add-color_1msa_cc_sub_alpha_dc_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_dc_max_alpha_z_z_rsub-color_z_1mca_max_alpha_da_1mcc_max-color_z_sc_rsub_alpha_o_dc_min-color_1mca_o_max_alpha_1mda_ca_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_cc_max_alpha_1mdc_o_max-color_sas_dc_rsub_alpha_1msc_1mda_rsub-color_sas_sa_min_alpha_1mda_cc_rsub-color_1mdc_sa_sub_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_cc_min_alpha_da_1mda_sub-color_o_1mca_rsub_alpha_1msc_ca_add-color_1mcc_1msc_rsub_alpha_sa_sa_min-color_1msa_1mda_rsub_alpha_1msa_sas_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_dc_rsub_alpha_z_1mdc_sub-color_1msc_1msc_min_alpha_sc_dc_sub-color_da_sc_min_alpha_z_cc_min-color_1msc_sas_max_alpha_z_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_dc_add_alpha_1msa_1msc_min-color_1msa_da_sub_alpha_1mca_sa_max-color_ca_1mdc_min_alpha_sa_1msc_min-color_ca_sc_min_alpha_1mcc_1mda_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_1mdc_sub_alpha_1mca_cc_rsub-color_sas_1msa_rsub_alpha_1mca_ca_rsub-color_1mca_da_sub_alpha_o_1mdc_min-color_1msc_1mcc_sub_alpha_z_ca_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_1mdc_min_alpha_da_1mca_rsub-color_1mcc_ca_max_alpha_cc_da_min-color_z_cc_min_alpha_1mca_z_rsub-color_dc_dc_rsub_alpha_z_dc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_ca_min_alpha_z_1mca_sub-color_1msa_z_max_alpha_1mda_z_sub-color_1msc_z_sub_alpha_1mdc_ca_sub-color_o_z_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_cc_sub_alpha_dc_o_max-color_sas_1mcc_sub_alpha_dc_1mdc_add-color_z_ca_max_alpha_sc_1mdc_max-color_1msc_dc_add_alpha_1msa_sas_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_sas_sub_alpha_da_cc_add-color_1mca_1msc_max_alpha_1mca_sc_max-color_1mcc_1mdc_sub_alpha_1mda_1mca_max-color_1msc_1msa_max_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mda_add_alpha_1msa_sas_rsub-color_1mda_cc_min_alpha_cc_cc_min-color_1mcc_1mca_rsub_alpha_1mca_1mda_min-color_sc_1mda_sub_alpha_sa_cc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_da_add_alpha_sas_1mca_add-color_z_1mda_sub_alpha_ca_1mcc_rsub-color_sa_sa_max_alpha_dc_da_min-color_cc_dc_min_alpha_1msa_da_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_sa_add_alpha_1msa_ca_rsub-color_cc_1mca_sub_alpha_o_1mcc_max-color_z_z_sub_alpha_sa_sa_rsub-color_cc_sc_rsub_alpha_1mdc_dc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mdc_max_alpha_sc_1mcc_sub-color_1mda_sa_max_alpha_sc_1msa_add-color_cc_dc_rsub_alpha_o_sa_min-color_sc_sa_max_alpha_1mda_1msa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mda_sub_alpha_cc_sa_min-color_1mda_da_rsub_alpha_1mdc_1mda_sub-color_sc_1msc_min_alpha_1mdc_1mca_max-color_ca_cc_min_alpha_da_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_cc_sub_alpha_1mcc_z_rsub-color_z_o_rsub_alpha_sa_1mdc_add-color_1mda_1msc_max_alpha_1mca_sa_sub-color_1msa_sa_rsub_alpha_z_sa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_cc_o_rsub-color_1mca_1mca_max_alpha_dc_1mdc_add-color_dc_sas_rsub_alpha_1mdc_1mdc_max-color_sas_1mca_max_alpha_sas_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_ca_sub_alpha_1mcc_da_min-color_cc_o_min_alpha_1mcc_sa_add-color_o_sas_add_alpha_ca_sc_sub-color_1msa_da_rsub_alpha_1mda_sc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_dc_max_alpha_sas_1msc_add-color_sc_sas_sub_alpha_1msa_1mcc_max-color_sc_sc_rsub_alpha_1mdc_dc_sub-color_1msc_sa_rsub_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_sc_max_alpha_1mcc_1msa_max-color_1mdc_sas_min_alpha_1mcc_1msa_sub-color_ca_ca_max_alpha_dc_sc_max-color_1msa_sc_max_alpha_1mdc_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_da_rsub_alpha_ca_sc_min-color_1mca_ca_min_alpha_da_1msc_sub-color_da_sc_sub_alpha_1msa_ca_rsub-color_1mca_1mca_add_alpha_da_z_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_ca_sub_alpha_cc_cc_add-color_da_1msc_min_alpha_sa_ca_sub-color_sas_sa_sub_alpha_1mdc_z_max-color_sa_z_add_alpha_sc_1mca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_dc_max_alpha_cc_1mca_rsub-color_o_1msa_min_alpha_1mda_1mda_rsub-color_cc_1mdc_add_alpha_sc_1mdc_add-color_sa_1mdc_min_alpha_sc_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1msa_min_alpha_1mcc_1mdc_max-color_z_sas_add_alpha_1mda_dc_add-color_sc_1mda_add_alpha_sc_cc_rsub-color_1msc_1msc_max_alpha_z_o_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sas_sub_alpha_1msa_1mca_sub-color_sas_ca_max_alpha_1mda_1msa_add-color_sa_da_sub_alpha_sa_z_rsub-color_ca_1mdc_add_alpha_z_sc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_o_sub_alpha_1msa_1msa_sub-color_1mca_o_add_alpha_sa_sc_max-color_o_ca_rsub_alpha_o_dc_max-color_cc_1mdc_rsub_alpha_sas_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_1msc_rsub_alpha_sa_1mda_min-color_sa_1mcc_rsub_alpha_1msa_1mdc_max-color_ca_1mcc_max_alpha_1mca_1mcc_sub-color_dc_dc_max_alpha_da_sc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_rsub_alpha_1msa_cc_sub-color_da_1msc_min_alpha_1msc_sc_add-color_sa_sas_add_alpha_z_o_sub-color_dc_1msc_max_alpha_sa_da_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_1mca_rsub_alpha_1mda_z_sub-color_sc_sc_add_alpha_1mca_sa_max-color_sa_1msa_min_alpha_1msc_sa_sub-color_dc_sc_add_alpha_1mdc_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_1mcc_sub_alpha_sas_1msc_sub-color_ca_sc_sub_alpha_1mda_cc_max-color_o_ca_sub_alpha_1mda_sas_rsub-color_z_1mdc_rsub_alpha_1msa_z_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_sc_max_alpha_cc_1mca_add-color_da_1mca_add_alpha_1mcc_1msa_min-color_sa_z_rsub_alpha_1mca_dc_rsub-color_1msa_1mca_sub_alpha_cc_o_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_1mcc_sub_alpha_dc_o_rsub-color_cc_1mdc_rsub_alpha_1mdc_da_add-color_o_1mcc_min_alpha_sas_sas_max-color_1msa_sc_max_alpha_1msc_sa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mdc_min_alpha_da_sc_sub-color_1mcc_sa_min_alpha_sa_ca_max-color_o_z_add_alpha_1mda_da_add-color_1mdc_sa_min_alpha_1mcc_sc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mcc_rsub_alpha_z_sa_rsub-color_ca_o_max_alpha_z_1mca_sub-color_1mcc_ca_add_alpha_cc_1mdc_rsub-color_dc_dc_rsub_alpha_o_1mcc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_sc_sub_alpha_1mcc_ca_rsub-color_dc_1mda_max_alpha_ca_dc_add-color_1mca_o_rsub_alpha_1mcc_da_add-color_cc_cc_sub_alpha_o_z_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_dc_sub_alpha_1mdc_sa_sub-color_sa_sc_max_alpha_ca_o_add-color_1mcc_1mda_max_alpha_z_ca_max-color_1mca_1msa_min_alpha_1mca_sas_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_1mca_1msa_rsub-color_1mca_1msa_max_alpha_1mda_sc_min-color_sc_z_max_alpha_dc_1mca_add-color_1mda_ca_add_alpha_sas_1mdc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sas_min_alpha_1msa_1mda_min-color_ca_sc_min_alpha_da_1mdc_sub-color_z_1mdc_max_alpha_cc_z_rsub-color_z_dc_rsub_alpha_sc_1msc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_sc_min_alpha_o_z_min-color_o_1mda_add_alpha_sas_dc_min-color_dc_1mca_rsub_alpha_sc_1msa_add-color_1mda_da_rsub_alpha_1mca_dc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_o_add_alpha_1mda_1msa_min-color_sa_da_rsub_alpha_da_o_add-color_1msa_1msc_add_alpha_o_sas_sub-color_1msa_sas_min_alpha_1mdc_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sa_sas_sub_alpha_da_ca_add-color_1msc_o_add_alpha_o_1mca_sub-color_dc_1msc_max_alpha_da_1mdc_sub-color_1msa_1mdc_add_alpha_sc_1msa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_ca_min_alpha_sas_dc_sub-color_ca_ca_max_alpha_1mda_sas_sub-color_sa_1msa_max_alpha_z_1mda_min-color_sc_1mdc_sub_alpha_1msa_da_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sa_min_alpha_ca_1mda_max-color_1mdc_dc_add_alpha_1mda_sas_rsub-color_sas_1mca_max_alpha_1mca_1mcc_min-color_o_1msa_rsub_alpha_dc_da_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1msa_rsub_alpha_1mdc_1mcc_sub-color_1mdc_sc_add_alpha_1mcc_1mca_min-color_z_1msc_sub_alpha_ca_sa_min-color_sa_sa_rsub_alpha_cc_sas_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_sc_rsub_alpha_1mcc_cc_sub-color_o_da_rsub_alpha_ca_1mca_sub-color_1msc_sa_sub_alpha_1mca_sc_sub-color_cc_1msa_sub_alpha_sas_ca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mdc_add_alpha_1mda_1mcc_sub-color_1mda_1msc_max_alpha_1msc_da_max-color_da_1mca_sub_alpha_z_cc_min-color_sc_da_add_alpha_1mdc_sc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_dc_sub_alpha_ca_o_sub-color_1mda_z_add_alpha_cc_1msa_sub-color_1msa_1mda_min_alpha_da_o_min-color_1mda_1msc_sub_alpha_dc_1msc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sas_add_alpha_1msa_1msa_min-color_1mda_dc_rsub_alpha_da_da_min-color_sas_o_add_alpha_sa_ca_min-color_da_1mda_sub_alpha_da_1mdc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_ca_sub_alpha_sas_sas_sub-color_1mda_o_max_alpha_1msa_sas_sub-color_1mdc_o_sub_alpha_sas_sc_max-color_1mdc_1mcc_add_alpha_sa_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_sc_max_alpha_cc_1mda_sub-color_sas_z_rsub_alpha_sas_o_rsub-color_1mdc_1msa_rsub_alpha_1mca_1mdc_min-color_1msc_sas_sub_alpha_dc_sa_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1msc_min_alpha_dc_1mda_sub-color_o_1msc_max_alpha_1mda_1mca_add-color_cc_sc_add_alpha_ca_1mcc_rsub-color_1mca_dc_min_alpha_sc_o_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_1mda_rsub_alpha_da_da_rsub-color_sas_1mdc_rsub_alpha_1msa_sa_add-color_cc_cc_rsub_alpha_sa_1mca_min-color_z_1msa_max_alpha_1msc_cc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_cc_rsub_alpha_sas_1mcc_max-color_da_1mca_max_alpha_da_1msa_sub-color_z_o_rsub_alpha_dc_sas_sub-color_1mda_1msa_add_alpha_1mda_1mdc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_z_min_alpha_1mcc_cc_max-color_z_sas_max_alpha_ca_sa_max-color_1mcc_1mca_sub_alpha_sa_o_rsub-color_z_1mdc_sub_alpha_o_1mda_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1msa_sub_alpha_z_o_rsub-color_o_sc_sub_alpha_1mdc_1mda_rsub-color_1mcc_1mdc_rsub_alpha_1mcc_z_min-color_cc_sa_max_alpha_o_da_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_sa_min_alpha_1mdc_dc_rsub-color_1mcc_1mca_sub_alpha_1mdc_dc_min-color_o_1mcc_sub_alpha_1msc_z_min-color_sas_1msa_add_alpha_z_1msa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_add_alpha_dc_1mdc_sub-color_1msa_o_max_alpha_1msc_1msa_sub-color_1mda_z_max_alpha_z_sa_min-color_sas_1mdc_min_alpha_1mcc_sa_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msc_1mdc_min_alpha_z_sc_rsub-color_1mda_o_min_alpha_1mdc_sa_max-color_sc_1mcc_rsub_alpha_z_1mdc_max-color_1mda_z_add_alpha_cc_sa_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mca_rsub_alpha_o_1mdc_sub-color_sc_dc_add_alpha_1mcc_ca_add-color_sc_da_rsub_alpha_sa_ca_min-color_o_1msc_max_alpha_ca_1msc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_dc_rsub_alpha_z_ca_min-color_sa_ca_rsub_alpha_sas_z_max-color_ca_sas_max_alpha_1mda_sas_max-color_1mda_sc_max_alpha_sc_1mda_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_1mdc_rsub_alpha_sa_1msc_min-color_1mca_ca_max_alpha_sc_sc_max-color_sa_dc_sub_alpha_cc_sas_sub-color_z_1mca_sub_alpha_da_da_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_sa_min_alpha_sa_sas_sub-color_1mda_dc_max_alpha_1mdc_sa_add-color_1mdc_cc_min_alpha_1mcc_dc_max-color_da_z_rsub_alpha_1mda_cc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mdc_add_alpha_1msa_z_add-color_ca_1mdc_sub_alpha_1mcc_sc_max-color_sc_1mdc_rsub_alpha_1mdc_1mdc_rsub-color_1mdc_1msc_sub_alpha_sa_1mcc_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mdc_cc_sub_alpha_1mdc_1mcc_max-color_z_sc_rsub_alpha_1msc_sas_sub-color_dc_cc_max_alpha_z_1mcc_min-color_1msc_1mda_min_alpha_sa_1mca_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_ca_cc_sub_alpha_1msa_ca_max-color_sa_dc_rsub_alpha_cc_1mca_rsub-color_dc_cc_add_alpha_1mda_1mca_rsub-color_sa_1mcc_add_alpha_1mca_da_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_sa_min_alpha_cc_sas_rsub-color_dc_sc_min_alpha_sas_dc_min-color_1mda_1msc_max_alpha_sa_1msc_max-color_cc_1mdc_min_alpha_1mda_1msc_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_cc_1mdc_min_alpha_1msa_da_max-color_1mdc_da_sub_alpha_cc_cc_max-color_1msa_sas_add_alpha_sc_dc_sub-color_z_sas_min_alpha_1msa_o_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mcc_add_alpha_1msc_sa_min-color_sc_da_max_alpha_sa_da_min-color_1msa_dc_max_alpha_ca_o_sub-color_sas_sas_max_alpha_da_da_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sas_1mcc_min_alpha_dc_1mdc_min-color_1mda_1mcc_add_alpha_1mca_1msa_max-color_1mda_sc_add_alpha_sc_1msa_sub-color_dc_sa_min_alpha_1mdc_1mcc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1msa_1mcc_sub_alpha_o_ca_add-color_da_sa_max_alpha_sa_1mdc_max-color_1mdc_1msc_min_alpha_o_1mda_rsub-color_dc_ca_sub_alpha_sc_1mca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_o_rsub_alpha_dc_1mca_sub-color_1mca_sas_rsub_alpha_da_1msc_sub-color_1mca_da_rsub_alpha_ca_1msc_sub-color_o_1msa_min_alpha_1msc_1msc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_1mcc_min_alpha_1msc_sc_rsub-color_1mda_1mca_min_alpha_1msa_sc_sub-color_1mcc_dc_min_alpha_1mdc_1msa_max-color_1mda_da_rsub_alpha_1mca_z_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_sc_add_alpha_cc_1mca_sub-color_1mcc_sc_min_alpha_z_1mca_rsub-color_dc_da_sub_alpha_ca_1mdc_add-color_sas_1msc_max_alpha_1msc_ca_rsub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1mcc_max_alpha_sa_ca_rsub-color_1mdc_1mda_max_alpha_1mdc_sa_sub-color_1mdc_1mda_sub_alpha_sa_o_sub-color_1mcc_1msa_add_alpha_1mdc_1mdc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_1mda_add_alpha_cc_1msa_rsub-color_sas_1mca_sub_alpha_1mdc_1mca_rsub-color_da_da_min_alpha_sas_ca_rsub-color_1mca_dc_max_alpha_1mdc_sas_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_sc_ca_add_alpha_sas_1mcc_sub-color_1msc_1mdc_add_alpha_o_o_rsub-color_sc_1mcc_min_alpha_1mda_cc_sub-color_o_cc_min_alpha_z_ca_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1mda_sub_alpha_1mcc_dc_sub-color_1mcc_o_sub_alpha_1mda_ca_sub-color_1mcc_ca_min_alpha_1mcc_cc_add-color_1mdc_da_min_alpha_dc_sa_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_z_1mdc_sub_alpha_cc_cc_max-color_1mca_1msc_max_alpha_1mcc_sas_max-color_1mdc_1mda_sub_alpha_1msa_1mda_sub-color_sas_da_max_alpha_da_o_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_sa_min_alpha_cc_ca_add-color_1msa_1mca_min_alpha_1mcc_1msc_min-color_1mda_dc_sub_alpha_sas_sa_add-color_1msc_1mca_add_alpha_z_cc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mda_add_alpha_1mda_1mcc_add-color_da_1msc_add_alpha_1mca_ca_max-color_cc_da_sub_alpha_dc_da_rsub-color_z_1mdc_rsub_alpha_1mca_1msa_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_da_sc_rsub_alpha_sa_cc_rsub-color_sas_1mda_sub_alpha_1mcc_dc_sub-color_da_1mca_min_alpha_1mda_o_rsub-color_1msa_da_max_alpha_ca_cc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mcc_dc_max_alpha_1mca_1mca_sub-color_1mda_1mdc_max_alpha_sc_sas_rsub-color_1msc_cc_add_alpha_1msc_1msc_max-color_cc_sc_min_alpha_dc_1msc_min
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mca_1mca_sub_alpha_o_1mda_add-color_z_1mcc_max_alpha_sc_1mdc_add-color_1mca_1mdc_add_alpha_sc_sa_min-color_sas_o_max_alpha_1mcc_1mdc_add
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_dc_1msc_min_alpha_ca_cc_min-color_z_1msc_rsub_alpha_sa_z_sub-color_1mdc_1mdc_max_alpha_sa_cc_sub-color_1mcc_1mdc_max_alpha_dc_1mda_max
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_1mda_ca_sub_alpha_1mda_dc_add-color_1msa_z_sub_alpha_o_sa_sub-color_dc_1mcc_max_alpha_sa_dc_max-color_o_da_sub_alpha_1mda_1msc_sub
 +dEQP-VK.pipeline.blend.format.b5g5r5a1_unorm_pack16.states.color_o_1msa_add_alpha_o_1mda_max-color_da_1msa_sub_alpha_dc_sc_rsub-color_sc_ca_max_alpha_1mcc_sa_max-color_dc_ca_min_alpha_z_sc_rsub
 +dEQP-VK.pipeline.depth.format_features.support_d16_unorm
 +dEQP-VK.pipeline.depth.format_features.support_d24_unorm_or_d32_sfloat
 +dEQP-VK.pipeline.depth.format_features.support_d24_unorm_s8_uint_or_d32_sfloat_s8_uint
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d16_unorm.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.x8_d24_unorm_pack32.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d16_unorm_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d24_unorm_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_not_equal_not_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_equal_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_greater_greater_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_greater_or_equal_greater_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_or_equal_less_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_less_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_never_never_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_not_equal_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_equal_not_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_greater_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_or_equal_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_less_or_equal_less_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_always_always_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_less_never_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_never_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_not_equal_greater_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_equal_greater_or_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_greater_not_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_greater_or_equal_less_or_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_less_or_equal_never_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_less_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_not_equal_greater_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_never_always_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_equal_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_or_equal_not_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_less_or_equal_always_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_less_less_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_always_less_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_not_equal_less_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_equal_less_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_or_equal_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_less_not_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_less_or_equal_greater_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_not_equal_less_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_equal_never_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_less_or_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_less_or_equal_greater_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_less_always_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_never_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_not_equal_always_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_always_greater_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_greater_or_equal_never_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_never_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_less_or_equal_equal_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_less_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_always_greater_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_never_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_greater_less_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_always_never_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_less_or_equal_not_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_never_greater_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_equal_less_or_equal_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_greater_or_equal_always_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_always_less_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_never_greater_or_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.equal_always_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_greater_always_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_not_equal_not_equal_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_less_greater_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_not_equal_never_not_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_always_not_equal_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_or_equal_always_less_or_equal_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_or_equal_less_greater
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_equal_less_or_equal_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.always_greater_or_equal_greater_or_equal_less_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_greater_or_equal_never_less
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.greater_or_equal_never_greater_never
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.less_greater_equal_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.never_greater_always_greater_or_equal
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_not_equal_greater_always
 +dEQP-VK.pipeline.depth.format.d32_sfloat_s8_uint.compare_ops.not_equal_less_or_equal_not_equal_greater
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4_unorm_pack8.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r4g4b4a4_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g6b5_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r5g5b5a1_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r8g8b8a8_srgb.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_unorm_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uint_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.a2r10g10b10_uscaled_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_unorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_snorm.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sscaled.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r16g16b16a16_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_uint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sint.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.r32g32b32a32_sfloat.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b10g11r11_ufloat_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.e5b9g9r9_ufloat_pack32.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b4g4r4a4_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.2x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.32x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.128x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.512x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.3x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.13x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.127x1
 +dEQP-VK.pipeline.image.view_type.1d.format.b5g5r5a1_unorm_pack16.size.443x1
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4_unorm_pack8.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r4g4b4a4_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g6b5_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r5g5b5a1_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r8g8b8a8_srgb.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_unorm_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uint_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_unorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_snorm.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sscaled.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r16g16b16a16_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_uint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sint.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.r32g32b32a32_sfloat.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b10g11r11_ufloat_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b4g4r4a4_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.2x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.2x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.32x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.32x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.128x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.128x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.512x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.512x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.3x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.3x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.13x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.13x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.127x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.127x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.443x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.1d_array.format.b5g5r5a1_unorm_pack16.size.443x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4_unorm_pack8.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r4g4b4a4_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g6b5_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r5g5b5a1_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r8g8b8a8_srgb.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_unorm_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uint_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.a2r10g10b10_uscaled_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_unorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_snorm.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sscaled.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r16g16b16a16_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_uint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sint.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.r32g32b32a32_sfloat.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b10g11r11_ufloat_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.e5b9g9r9_ufloat_pack32.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b4g4r4a4_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.b5g5r5a1_unorm_pack16.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a1_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.etc2_r8g8b8a8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11_snorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.eac_r11g11_snorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_4x4_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x4_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_5x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_6x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_8x8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x5_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x6_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x8_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_10x10_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x10_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_unorm_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.1x1
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.2x2
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.3x3
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.8x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.32x16
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.13x23
 +dEQP-VK.pipeline.image.view_type.2d.format.astc_12x12_srgb_block.size.23x8
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4_unorm_pack8.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r4g4b4a4_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g6b5_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r5g5b5a1_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r8g8b8a8_srgb.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_unorm_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uint_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_unorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_snorm.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sscaled.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r16g16b16a16_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_uint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sint.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.r32g32b32a32_sfloat.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b10g11r11_ufloat_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b4g4r4a4_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.b5g5r5a1_unorm_pack16.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11_snorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.eac_r11g11_snorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_4x4_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x4_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_5x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_6x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_8x8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x5_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x6_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x8_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_10x10_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x10_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_unorm_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.1x1_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.1x1_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.2x2_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.2x2_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x32_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.3x3_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.3x3_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x13_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.8x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.8x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x16_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.32x16_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x23_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.13x23_array_of_6
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.23x8_array_of_3
 +dEQP-VK.pipeline.image.view_type.2d_array.format.astc_12x12_srgb_block.size.23x8_array_of_6
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4_unorm_pack8.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r4g4b4a4_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g6b5_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r5g5b5a1_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r8g8b8a8_srgb.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_unorm_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uint_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.a2r10g10b10_uscaled_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_unorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_snorm.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sscaled.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r16g16b16a16_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_uint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sint.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.r32g32b32a32_sfloat.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b10g11r11_ufloat_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.e5b9g9r9_ufloat_pack32.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b4g4r4a4_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.b5g5r5a1_unorm_pack16.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a1_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.etc2_r8g8b8a8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11_snorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.eac_r11g11_snorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_4x4_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x4_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_5x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_6x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_8x8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x5_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x6_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x8_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_10x10_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x10_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_unorm_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.1x1x1
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.2x2x2
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.16x16x16
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.32x16x8
 +dEQP-VK.pipeline.image.view_type.3d.format.astc_12x12_srgb_block.size.8x16x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4_unorm_pack8.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4_unorm_pack8.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4b4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r4g4b4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g6b5_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g6b5_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g5b5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r5g5b5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_srgb.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r8g8b8a8_srgb.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_unorm_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_unorm_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uint_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uint_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uscaled_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.a2r10g10b10_uscaled_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_unorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_unorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_snorm.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_snorm.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sscaled.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sscaled.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r16g16b16a16_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_uint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_uint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sint.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sint.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sfloat.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.r32g32b32a32_sfloat.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b10g11r11_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b10g11r11_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.e5b9g9r9_ufloat_pack32.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.e5b9g9r9_ufloat_pack32.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b4g4r4a4_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b4g4r4a4_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.b5g5r5a1_unorm_pack16.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.b5g5r5a1_unorm_pack16.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a1_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.etc2_r8g8b8a8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_snorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.eac_r11g11_snorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_4x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x4_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_5x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_6x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_8x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x5_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x6_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x8_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_10x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x10_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_unorm_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_unorm_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_srgb_block.size.32x32
 +dEQP-VK.pipeline.image.view_type.cube.format.astc_12x12_srgb_block.size.13x13
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4_unorm_pack8.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r4g4b4a4_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g6b5_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r5g5b5a1_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r8g8b8a8_srgb.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_unorm_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uint_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_unorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_snorm.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sscaled.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r16g16b16a16_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_uint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sint.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.r32g32b32a32_sfloat.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b10g11r11_ufloat_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b4g4r4a4_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.b5g5r5a1_unorm_pack16.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11_snorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.eac_r11g11_snorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_4x4_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x4_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_5x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_6x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_8x8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x5_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x6_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x8_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_10x10_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x10_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_unorm_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.32x32_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.32x32_array_of_36
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.13x13_array_of_6
 +dEQP-VK.pipeline.image.view_type.cube_array.format.astc_12x12_srgb_block.size.13x13_array_of_36
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.1d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.2d_array.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4_unorm_pack8.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r4g4b4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g6b5_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r5g5b5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2b10g10r10_unorm_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uint_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b10g11r11_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.e5b9g9r9_ufloat_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b4g4r4a4_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b5g5r5a1_unorm_pack16.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8a8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_srgb.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8_snorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.b8g8r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8_unorm.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16a16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32g32b32a32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r32_uint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16b16_sfloat.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r16g16_sint.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.r8g8b8a8_sscaled.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.a2r10g10b10_uscaled_pack32.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a1_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.etc2_r8g8b8a8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.eac_r11g11_snorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_4x4_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_5x4_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x5_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_6x6_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_8x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x6_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_10x8_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x10_unorm_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_transparent_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_black
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.all_mode_clamp_to_border_opaque_white
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirror_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_mirrored_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_repeat_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_border_mode_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_border_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_mirrored_repeat_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirror_clamp_to_edge_mode_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_border_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirror_clamp_to_edge_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_clamp_to_edge_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_border_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_mirrored_repeat_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_repeat_mode_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_mirrored_repeat_mode_mirror_clamp_to_edge_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_edge_mode_clamp_to_border
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_clamp_to_border_mode_repeat
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_repeat_mode_mirror_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirrored_repeat_mode_clamp_to_edge
 +dEQP-VK.pipeline.sampler.view_type.3d.format.astc_12x12_srgb_block.address_modes.uvw_mode_clamp_to_edge_mode_mirror_clamp_to_edge_mode_mirrored_repeat
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4_unorm_pack8.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r4g4b4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g6b5_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r5g5b5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2b10g10r10_unorm_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uint_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b10g11r11_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b4g4r4a4_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b5g5r5a1_unorm_pack16.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8a8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_srgb.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8_snorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.b8g8r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8_unorm.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16a16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32g32b32a32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r32_uint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.min_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16b16_sfloat.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r16g16_sint.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.r8g8b8a8_sscaled.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.min_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.a2r10g10b10_uscaled_pack32.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.eac_r11g11_snorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_4x4_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_5x4_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x5_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_6x6_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_8x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x6_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_10x8_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x10_unorm_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mag_filter.linear
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mag_filter.nearest
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.nearest.lod.select_bias_3_7
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.equal_min_3_max_3
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_min_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_max_4
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_2_5
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_1
 +dEQP-VK.pipeline.sampler.view_type.cube_array.format.astc_12x12_srgb_block.mipmap.linear.lod.select_bias_3_7
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.1d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.2d_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.3d.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_second
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_layer_last
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4_unorm_pack8.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r4g4b4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g6b5_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r5g5b5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r8g8b8a8_srgb.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_unorm_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2r10g10b10_uint_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.a2b10g10r10_uscaled_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_unorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_snorm.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sscaled.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r16g16b16a16_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_uint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sint.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.r32g32b32a32_sfloat.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b10g11r11_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.e5b9g9r9_ufloat_pack32.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b4g4r4a4_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.b5g5r5a1_unorm_pack16.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a1_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.etc2_r8g8b8a8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.eac_r11g11_snorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_4x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x4_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_5x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_6x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_8x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x5_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x6_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x8_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_10x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x10_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_unorm_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.r_g_b_a
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.g_b_a_r
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.b_a_r_g
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.component_swizzle.a_r_g_b
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_base_array_layer
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_base_mip_level_array_base_and_size
 +dEQP-VK.pipeline.image_view.view_type.cube_array.format.astc_12x12_srgb_block.subresource_range.lod_mip_levels_array_base_and_size
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_4
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_16
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.range_size_128
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_2_shaders_vert_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_3_shaders_vert_geom_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_5_shaders_vert_tess_geom_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.count_1_shader_vert_frag
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_partial_1
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_partial_2
 +dEQP-VK.pipeline.push_constant.graphics_pipeline.data_update_multiple
 +dEQP-VK.pipeline.push_constant.compute_pipeline.simple_test
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.vertex.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.fragment.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.tess_control.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.tess_eval.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.default_value.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.basic.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.builtin.default
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.graphics.geometry.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.compute.default_value.bool
 +dEQP-VK.pipeline.spec_constant.compute.default_value.int
 +dEQP-VK.pipeline.spec_constant.compute.default_value.uint
 +dEQP-VK.pipeline.spec_constant.compute.default_value.float
 +dEQP-VK.pipeline.spec_constant.compute.default_value.double
 +dEQP-VK.pipeline.spec_constant.compute.basic.bool
 +dEQP-VK.pipeline.spec_constant.compute.basic.int
 +dEQP-VK.pipeline.spec_constant.compute.basic.uint
 +dEQP-VK.pipeline.spec_constant.compute.basic.float
 +dEQP-VK.pipeline.spec_constant.compute.basic.double
 +dEQP-VK.pipeline.spec_constant.compute.builtin.default
 +dEQP-VK.pipeline.spec_constant.compute.builtin.specialized
 +dEQP-VK.pipeline.spec_constant.compute.expression.spec_const_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_spec_const_expression
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_length
 +dEQP-VK.pipeline.spec_constant.compute.expression.array_size_pass_to_function
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.vector.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.matrix.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.float
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.double
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.int
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_float
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_double
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_int
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.array_bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.array.struct
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.float
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.vec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.mat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.double
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat2x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat3x4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4x2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4x3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.dmat4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.int
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.ivec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uint
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.uvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bool
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec2
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec3
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.bvec4
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.array
 +dEQP-VK.pipeline.spec_constant.compute.composite.struct.struct
 +dEQP-VK.pipeline.spec_constant.compute.local_size.x
 +dEQP-VK.pipeline.spec_constant.compute.local_size.y
 +dEQP-VK.pipeline.spec_constant.compute.local_size.z
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xy
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xz
 +dEQP-VK.pipeline.spec_constant.compute.local_size.yz
 +dEQP-VK.pipeline.spec_constant.compute.local_size.xyz
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_2.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_2.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_4.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_4.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_8.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_8.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_16.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_16.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_32.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_32.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.raster_samples.samples_64.primitive_point
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.depth
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.stencil
++dEQP-VK.pipeline.multisample.raster_samples.samples_64.depth_stencil
 +dEQP-VK.pipeline.multisample.raster_samples_consistency.unique_colors_check
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_0.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_25.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_5.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_0_75.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.min_sample_shading.min_1_0.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_on.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_all_off.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_one.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_2.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_4.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_8.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_16.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_32.primitive_point
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_triangle
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_line
 +dEQP-VK.pipeline.multisample.sample_mask.mask_random.samples_64.primitive_point
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_2
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_4
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_8
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_16
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_32
 +dEQP-VK.pipeline.multisample.alpha_to_one.samples_64
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_2.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_4.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_8.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_16.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_32.alpha_invisible
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_opaque
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_translucent
 +dEQP-VK.pipeline.multisample.alpha_to_coverage.samples_64.alpha_invisible
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.64x64_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.sampled_image.79x31_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.64x64_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_1.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r8g8b8a8_unorm.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32_uint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r16g16_sint.samples_64
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_2
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_4
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_8
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_16
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_32
 +dEQP-VK.pipeline.multisample.storage_image.79x31_4.r32g32b32a32_sfloat.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_single_sample_.128_128_1.samples_1
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_single_sample_.137_191_1.samples_1
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_distinct_values.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_ignores_centroid.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_interpolate_at_consistency.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.sample_qualifier_distinct_values.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_interpolate_at_consistency.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.centroid_qualifier_inside_primitive.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_pixel_center.137_191_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.128_128_1.samples_64
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_2
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_4
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_8
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_16
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_32
 +dEQP-VK.pipeline.multisample_interpolation.offset_interpolate_at_sample_position.137_191_1.samples_64
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r8g8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r8g8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r8g8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r8g8b8a8_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r8g8b8a8_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r16g16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r16g16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r16g16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_unorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_snorm_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r16g16b16a16_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r16g16b16a16_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r16g16b16a16_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uint_as_r32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.int_as_r32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec2_as_r32g32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r32g32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec2_as_r32g32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r32g32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r32g32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec3_as_r32g32b32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec3_as_r32g32b32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r32g32b32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r32g32b32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.uvec4_as_r32g32b32a32_uint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.ivec4_as_r32g32b32a32_sint_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r32g32b32a32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r32g32b32a32_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r16g16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r16g16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r16g16b16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r16g16b16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_uscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_uscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r16g16b16a16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sscaled_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r16g16b16a16_sscaled_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.float_as_r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec2_as_r8g8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat2_as_r8g8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_r8g8b8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_r8g8b8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec3_as_b8g8r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat3_as_b8g8r8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_r8g8b8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_r8g8b8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.vec4_as_b8g8r8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_srgb_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.mat4_as_b8g8r8a8_srgb_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.double_as_r64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.double_as_r64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec2_as_r64g64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec2_as_r64g64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat2_as_r64g64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat2_as_r64g64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec3_as_r64g64b64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec3_as_r64g64b64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat3_as_r64g64b64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat3_as_r64g64b64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec4_as_r64g64b64a64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dvec4_as_r64g64b64a64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat4_as_r64g64b64a64_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.single_attribute.dmat4_as_r64g64b64a64_sfloat_rate_instance
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_one.attributes.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-ivec3_as_r32g32b32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r32g32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec2_as_r8g8_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec2_as_r16g16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r8g8b8a8_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r8g8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r8_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r16_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.int_as_r32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-ivec4_as_r32g32b32a32_sint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec3_as_r32g32b32_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-uint_as_r32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-float_as_r32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-float_as_r8_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r16g16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r8g8_sint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec2_as_r32g32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uint_as_r16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r32g32b32a32_sint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r16g16b16a16_sint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-ivec4_as_r8g8b8a8_sint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r16g16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec3_as_r32g32b32_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-uvec2_as_r32g32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r8_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uint_as_r16_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r8_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uint_as_r32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uint_as_r16_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-float_as_r8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r32g32b32a32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-float_as_r16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r32g32b32a32_sint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r16g16b16a16_sint_rate_vertex-mat2_as_r16g16_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.ivec4_as_r8g8b8a8_sint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-uvec3_as_r32g32b32_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r32g32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r8g8_uint_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec2_as_r16g16_uint_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r16g16b16a16_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r8_uint_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uint_as_r16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-uvec4_as_r8g8b8a8_uint_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-vec4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec3_as_r32g32b32_uint_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-float_as_r16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-vec2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-float_as_r16_unorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r8g8_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r16g16_uint_rate_vertex-mat2_as_r32g32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec2_as_r32g32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-float_as_r32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r16g16b16a16_uint_rate_instance-vec2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r8g8b8a8_uint_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-uvec4_as_r32g32b32a32_uint_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-float_as_r16_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r8g8_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r8g8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-vec4_as_r16g16b16a16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r8g8_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec3_as_r32g32b32_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_unorm_rate_instance-vec2_as_r16g16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r16_snorm_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-float_as_r8_unorm_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-float_as_r32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-float_as_r16_snorm_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r8g8_unorm_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec2_as_r16g16_unorm_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r8g8b8a8_uint_rate_vertex-vec4_as_r8g8b8a8_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r32g32b32a32_uint_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.uvec4_as_r16g16b16a16_uint_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-vec3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r16g16_sfloat_rate_instance-vec4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat2_as_r32g32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_unorm_rate_vertex-vec2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec2_as_r32g32_sfloat_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r32_sfloat_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat2_as_r8g8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r8_snorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-vec4_as_r8g8b8a8_unorm_rate_instance-mat4_as_r8g8b8a8_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_snorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.float_as_r16_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-vec4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_snorm_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec3_as_r32g32b32_sfloat_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat2_as_r16g16_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-vec4_as_r16g16b16a16_unorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r32g32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r16g16_unorm_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_r32g32b32a32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec2_as_r8g8_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r16g16b16a16_sfloat_rate_instance-mat2_as_r16g16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_r32g32b32a32_sfloat_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-vec4_as_b8g8r8a8_unorm_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat2_as_r16g16_snorm_rate_instance-mat4_as_b8g8r8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec3_as_r32g32b32_sfloat_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r8g8b8a8_snorm_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat3_as_r32g32b32_sfloat_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r16g16b16a16_sfloat_rate_vertex-mat2_as_r16g16_unorm_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.vec4_as_r16g16b16a16_snorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r8g8b8a8_unorm_rate_vertex
 +dEQP-VK.pipeline.vertex_input.multiple_attributes.binding_one_to_many.attributes_sequential.mat2_as_r16g16_unorm_rate_vertex-mat3_as_r32g32b32_sfloat_rate_instance-mat4_as_r16g16b16a16_snorm_rate_vertex
 +dEQP-VK.pipeline.input_assembly.primitive_topology.point_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_list
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_list_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_list_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_topology.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint16.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.line_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_strip
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_fan
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.line_strip_with_adjacency
 +dEQP-VK.pipeline.input_assembly.primitive_restart.index_type_uint32.triangle_strip_with_adjacency
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.fragment_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.fragment_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.early_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.early_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.late_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.late_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.color_attachment_output_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.color_attachment_output_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_graphics_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_graphics_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_commands_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.all_commands_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_shader_stage_fragment_shader_stage_late_fragment_tests_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.basic_graphics_tests.vertex_input_stage_early_fragment_tests_stage_color_attachment_output_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.draw_indirect_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.draw_indirect_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_control_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_control_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_evaluation_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.tessellation_evaluation_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.geometry_shader_stage_in_render_pass
 +dEQP-VK.pipeline.timestamp.advanced_graphics_tests.geometry_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_compute_tests.compute_shader_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.basic_compute_tests.all_commands_stage_out_of_render_pass
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_blit_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_buffer_to_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_image_to_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_update_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_fill_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_clear_color_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_clear_depth_stencil_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_resolve_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.transfer_stage_with_copy_query_pool_results_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_blit_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_buffer_to_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_image_to_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_update_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_fill_buffer_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_clear_color_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_clear_depth_stencil_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_resolve_image_method
 +dEQP-VK.pipeline.timestamp.transfer_tests.host_stage_with_copy_query_pool_results_method
 +dEQP-VK.pipeline.timestamp.misc_tests.timestamp_only
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_depth
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_stencil
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_depth
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_stencil
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_depth_no_attachment
 +dEQP-VK.pipeline.early_fragment.no_early_fragment_tests_stencil_no_attachment
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_depth_no_attachment
 +dEQP-VK.pipeline.early_fragment.early_fragment_tests_stencil_no_attachment
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.graphics_tests.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_get_data.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_geometry_stage_fragment_stage
 +dEQP-VK.pipeline.cache.pipeline_from_incomplete_get_data.vertex_stage_tessellation_control_stage_tessellation_evaluation_stage_fragment_stage
 +dEQP-VK.pipeline.cache.compute_tests.compute_stage
 +dEQP-VK.pipeline.cache.misc_tests.merge_cache_test
 +dEQP-VK.pipeline.cache.misc_tests.cache_header_test
 +dEQP-VK.pipeline.cache.misc_tests.invalid_size_test
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.compute.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.compute.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.compute.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.compute.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.compute.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.compute.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.primary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.multiple_discontiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_mutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.combined_image_sampler_immutable.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.no_access.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_ctrl.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.tess_eval.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.geometry.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.single_descriptor.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.multiple_contiguous_descriptors.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.1d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.2d_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.3d_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_mip
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_image.vertex_fragment.descriptor_array.cube_array_base_slice
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.no_access.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_ctrl.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.tess_eval.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.geometry.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.single_descriptor.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_texel_buffer.vertex_fragment.descriptor_array.offset_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.no_access.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_ctrl.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.tess_eval.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.geometry.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.single_descriptor.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer.vertex_fragment.descriptor_array.offset_view_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.uniform_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.no_access.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_ctrl.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.tess_eval.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.geometry.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.single_descriptor.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.multiple_contiguous_descriptors.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_zero_dynamic_nonzero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_zero
 +dEQP-VK.binding_model.shader_access.secondary_cmd_buf.storage_buffer_dynamic.vertex_fragment.descriptor_array.offset_view_nonzero_dynamic_nonzero
 +dEQP-VK.spirv_assembly.instruction.compute.opnop.all
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.iadd
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.isub
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.iinc
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.idec
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.load
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.store
 +dEQP-VK.spirv_assembly.instruction.compute.opatomic.compex
 +dEQP-VK.spirv_assembly.instruction.compute.opline.all
 +dEQP-VK.spirv_assembly.instruction.compute.opnoline.all
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.bool
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.sint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.float32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec4float32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec3bool
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.vec2uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.array
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnull.pointer
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.vector
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantcomposite.nested_struct
 +dEQP-VK.spirv_assembly.instruction.compute.opconstantnullcomposite.spotcheck
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.iadd
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.isub
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.imul
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sdiv
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.udiv
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.srem
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.smod
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.umod
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwiseand
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwiseor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.bitwisexor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftrightlogical
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftrightarithmetic
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.shiftleftlogical
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.slessthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ulessthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sgreaterthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ugreaterthan
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.slessthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ulessthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.sgreaterthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.ugreaterthanequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.iequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicaland
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalor
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalnotequal
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.snegate
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.not
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.logicalnot
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.select
 +dEQP-VK.spirv_assembly.instruction.compute.opspecconstantop.vector_related
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.unknown_source
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.wrong_source
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_filename
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_filename
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.long_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.utf8_source_code
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.normal_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.long_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.utf8_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.multi_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsource.empty_source_before_sourcecontinued
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.empty_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.real_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.fake_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.utf8_extension
 +dEQP-VK.spirv_assembly.instruction.compute.opsourceextension.long_extension
 +dEQP-VK.spirv_assembly.instruction.compute.decoration_group.all
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.block
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.induction
 +dEQP-VK.spirv_assembly.instruction.compute.opphi.swap
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.unroll
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.dont_unroll
 +dEQP-VK.spirv_assembly.instruction.compute.loop_control.unroll_dont_unroll
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.pure
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.const
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline_pure
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.const_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.inline_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.function_control.pure_inline_dont_inline
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.none
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.flatten
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.dont_flatten
 +dEQP-VK.spirv_assembly.instruction.compute.selection_control.flatten_dont_flatten
 +dEQP-VK.spirv_assembly.instruction.compute.block_order.all
 +dEQP-VK.spirv_assembly.instruction.compute.multiple_shaders.shader1
 +dEQP-VK.spirv_assembly.instruction.compute.multiple_shaders.shader2
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.null
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.none
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.volatile
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.nontemporal
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned_nontemporal
 +dEQP-VK.spirv_assembly.instruction.compute.memory_access.aligned_volatile
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.vector
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.array
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opcopymemory.float
 +dEQP-VK.spirv_assembly.instruction.compute.opcopyobject.spotcheck
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.multiplication
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.addition
 +dEQP-VK.spirv_assembly.instruction.compute.nocontraction.both
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.bool
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.float32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.vec4float32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.vec2uint32
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.matrix
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.image
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sampler
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.sampledimage
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.array
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.runtimearray
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.struct
 +dEQP-VK.spirv_assembly.instruction.compute.opundef.pointer
 +dEQP-VK.spirv_assembly.instruction.compute.opunreachable.all
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.infinities
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.propagated_nans
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.flush_to_zero
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.exact
 +dEQP-VK.spirv_assembly.instruction.compute.opquantize.rounded
 +dEQP-VK.spirv_assembly.instruction.compute.opfrem.all
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_int32
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int32_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_uint32
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int16_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.sconvert.int32_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_uint32
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint32_to_uint64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_int32
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint16_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.uconvert.uint32_to_int64
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.int.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.uint.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_2x4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_3x4
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x2
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x3
 +dEQP-VK.spirv_assembly.instruction.compute.opcompositeinsert.float.matrix_4x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.int.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.uint.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.vec_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.array_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_5
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_6
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_7
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_8
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_9
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.struct_10
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_2x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_3x4
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x2
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x3
 +dEQP-VK.spirv_assembly.instruction.compute.opinboundsaccesschain.float.matrix_4x4
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.int.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.int.uninitialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.uint.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.uint.uninitialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.float.initialized
 +dEQP-VK.spirv_assembly.instruction.compute.shader_default_output.float.uninitialized
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opnop.opnop_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.unknown_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.essl_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.glsl_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_cpp_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.opencl_c_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.multiple_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.file_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.source_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsource.longsource_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.empty_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.short_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.multiple_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opsourcecontinued.long_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_empty_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_short_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opline.opline_long_name_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opnoline.opnoline_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.vec4_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.float_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.bool_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.i32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantnull.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.vec4_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opconstantcomposite.array_of_struct_of_array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.none_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.nontemporal_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontemporal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opmemoryaccess.volatile_nontermporal_aligned_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.bool_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec2uint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.image_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampler_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sampledimage_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.pointer_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.runtimearray_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.array_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.struct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.float32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.sint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.uint32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.vec4float32_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opundef.matrix_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.selection_block_order.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.module.same_module
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom1_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert1_geom2_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom1_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc1_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse1_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse1_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse2_frag1
 +dEQP-VK.spirv_assembly.instruction.graphics.module.vert2_geom2_tessc2_tesse2_frag2
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.switch_block_order.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.out_of_order_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.induction_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opphi.swap_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.multiplication_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.addition_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.nocontraction.both_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.round_to_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_denorm_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_too_small_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_negative_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_round_to_inf_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_nan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.positive_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.negative_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_bit_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.carry_to_exponent_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_positive_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_negative_round_up_or_round_down_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_bit_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opquantize.spec_const_carry_to_exponent_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.single_block_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_continue_construct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.multi_block_loop_construct_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.continue_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.break_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.loop.return_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iadd_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.isub_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.imul_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sdiv_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.udiv_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.srem_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.smod_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.umod_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseand_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwiseor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.bitwisexor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightlogical_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftrightarithmetic_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.shiftleftlogical_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthan_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.slessthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ulessthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.sgreaterthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.ugreaterthanequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.iequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicaland_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalor_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnotequal_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.snegate_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.not_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.logicalnot_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.select_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop.vector_related_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.infinities
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.propagated_nans
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.flush_to_zero
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.exact
 +dEQP-VK.spirv_assembly.instruction.graphics.opspecconstantop_opquantize.rounded
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_function
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_switch
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_if
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.after_divergent_if
 +dEQP-VK.spirv_assembly.instruction.graphics.barrier.in_loop
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_on_multiple_types_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.empty_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.one_element_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_elements_decoration_group_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.multiple_decoration_groups_on_same_variable_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.decoration_group.same_decoration_group_multiple_times_frag
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_vert
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_tessc
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_tesse
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_geom
 +dEQP-VK.spirv_assembly.instruction.graphics.frem.frem_frag
 +dEQP-VK.glsl.arrays.constructor.float3_vertex
 +dEQP-VK.glsl.arrays.constructor.float3_fragment
 +dEQP-VK.glsl.arrays.constructor.float4_vertex
 +dEQP-VK.glsl.arrays.constructor.float4_fragment
 +dEQP-VK.glsl.arrays.constructor.int3_vertex
 +dEQP-VK.glsl.arrays.constructor.int3_fragment
 +dEQP-VK.glsl.arrays.constructor.int4_vertex
 +dEQP-VK.glsl.arrays.constructor.int4_fragment
 +dEQP-VK.glsl.arrays.constructor.bool3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool4_vertex
 +dEQP-VK.glsl.arrays.constructor.bool4_fragment
 +dEQP-VK.glsl.arrays.constructor.struct3_vertex
 +dEQP-VK.glsl.arrays.constructor.struct3_fragment
 +dEQP-VK.glsl.arrays.constructor.struct4_vertex
 +dEQP-VK.glsl.arrays.constructor.struct4_fragment
 +dEQP-VK.glsl.arrays.constructor.float_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.float_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.int_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.int_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.constructor.float_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.float_mat3_fragment
 +dEQP-VK.glsl.arrays.constructor.int_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.int_mat3_fragment
 +dEQP-VK.glsl.arrays.constructor.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.constructor.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.return.float_vertex
 +dEQP-VK.glsl.arrays.return.float_fragment
 +dEQP-VK.glsl.arrays.return.int_vertex
 +dEQP-VK.glsl.arrays.return.int_fragment
 +dEQP-VK.glsl.arrays.return.bool_vertex
 +dEQP-VK.glsl.arrays.return.bool_fragment
 +dEQP-VK.glsl.arrays.return.float_vec3_vertex
 +dEQP-VK.glsl.arrays.return.float_vec3_fragment
 +dEQP-VK.glsl.arrays.return.struct_vertex
 +dEQP-VK.glsl.arrays.return.struct_fragment
 +dEQP-VK.glsl.arrays.return.int_vec3_vertex
 +dEQP-VK.glsl.arrays.return.int_vec3_fragment
 +dEQP-VK.glsl.arrays.return.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.return.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.return.float_mat3_vertex
 +dEQP-VK.glsl.arrays.return.float_mat3_fragment
 +dEQP-VK.glsl.arrays.return.int_mat3_vertex
 +dEQP-VK.glsl.arrays.return.int_mat3_fragment
 +dEQP-VK.glsl.arrays.return.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.return.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.struct_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.struct_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vec3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_vec3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.float_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.int_mat3_fragment
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_mat3_vertex
 +dEQP-VK.glsl.arrays.unnamed_parameter.bool_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_struct_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_struct_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_vec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_ivec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_ivec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_bvec3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_bvec3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_float_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_int_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_mat3_vertex
 +dEQP-VK.glsl.arrays.declaration.implicit_size_bool_mat3_fragment
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_size_vertex
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_size_fragment
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_access_vertex
 +dEQP-VK.glsl.arrays.declaration.constant_expression_array_access_fragment
 +dEQP-VK.glsl.arrays.declaration.dynamic_expression_array_access_vertex
 +dEQP-VK.glsl.arrays.declaration.dynamic_expression_array_access_fragment
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_explicit_vertex
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_explicit_fragment
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_implicit_vertex
 +dEQP-VK.glsl.arrays.declaration.multiple_declarations_single_statement_implicit_fragment
 +dEQP-VK.glsl.arrays.length.float_vertex
 +dEQP-VK.glsl.arrays.length.float_fragment
 +dEQP-VK.glsl.arrays.length.int_vertex
 +dEQP-VK.glsl.arrays.length.int_fragment
 +dEQP-VK.glsl.arrays.length.bool_vertex
 +dEQP-VK.glsl.arrays.length.bool_fragment
 +dEQP-VK.glsl.arrays.length.struct_vertex
 +dEQP-VK.glsl.arrays.length.struct_fragment
 +dEQP-VK.glsl.conditionals.if.single_statement_vertex
 +dEQP-VK.glsl.conditionals.if.single_statement_fragment
 +dEQP-VK.glsl.conditionals.if.compound_statement_vertex
 +dEQP-VK.glsl.conditionals.if.compound_statement_fragment
 +dEQP-VK.glsl.conditionals.if.sequence_statements_vertex
 +dEQP-VK.glsl.conditionals.if.sequence_statements_fragment
 +dEQP-VK.glsl.conditionals.if.sequence_condition_vertex
 +dEQP-VK.glsl.conditionals.if.sequence_condition_fragment
 +dEQP-VK.glsl.conditionals.if.complex_condition_vertex
 +dEQP-VK.glsl.conditionals.if.complex_condition_fragment
 +dEQP-VK.glsl.conditionals.if.if_else_vertex
 +dEQP-VK.glsl.conditionals.if.if_else_fragment
 +dEQP-VK.glsl.conditionals.if.if_elseif_vertex
 +dEQP-VK.glsl.conditionals.if.if_elseif_fragment
 +dEQP-VK.glsl.conditionals.if.if_elseif_else_vertex
 +dEQP-VK.glsl.conditionals.if.if_elseif_else_fragment
 +dEQP-VK.glsl.conditionals.if.mixed_if_elseif_else_vertex
 +dEQP-VK.glsl.conditionals.if.mixed_if_elseif_else_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.float_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.float_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.int_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.int_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.bool_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.bool_fragment
 +dEQP-VK.glsl.constant_expressions.trivial.cast_vertex
 +dEQP-VK.glsl.constant_expressions.trivial.cast_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_float_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_float_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_vec_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_vec_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_int_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_int_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_ivec_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_ivec_fragment
 +dEQP-VK.glsl.constant_expressions.operators.math_mat_vertex
 +dEQP-VK.glsl.constant_expressions.operators.math_mat_fragment
 +dEQP-VK.glsl.constant_expressions.operators.bitwise_vertex
 +dEQP-VK.glsl.constant_expressions.operators.bitwise_fragment
 +dEQP-VK.glsl.constant_expressions.operators.logical_vertex
 +dEQP-VK.glsl.constant_expressions.operators.logical_fragment
 +dEQP-VK.glsl.constant_expressions.operators.compare_vertex
 +dEQP-VK.glsl.constant_expressions.operators.compare_fragment
 +dEQP-VK.glsl.constant_expressions.operators.selection_vertex
 +dEQP-VK.glsl.constant_expressions.operators.selection_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.struct_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.struct_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.nested_struct_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.nested_struct_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_size_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_size_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_length_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_length_fragment
 +dEQP-VK.glsl.constant_expressions.complex_types.array_vertex
 +dEQP-VK.glsl.constant_expressions.complex_types.array_fragment
 +dEQP-VK.glsl.constant_expressions.other.switch_case_vertex
 +dEQP-VK.glsl.constant_expressions.other.switch_case_fragment
 +dEQP-VK.glsl.constant_expressions.other.nested_builtin_funcs_vertex
 +dEQP-VK.glsl.constant_expressions.other.nested_builtin_funcs_fragment
 +dEQP-VK.glsl.constant_expressions.other.complex_vertex
 +dEQP-VK.glsl.constant_expressions.other.complex_fragment
 +dEQP-VK.glsl.constants.float_input_vertex
 +dEQP-VK.glsl.constants.float_input_fragment
 +dEQP-VK.glsl.constants.float_uniform_vertex
 +dEQP-VK.glsl.constants.float_uniform_fragment
 +dEQP-VK.glsl.constants.float_0_vertex
 +dEQP-VK.glsl.constants.float_0_fragment
 +dEQP-VK.glsl.constants.float_1_vertex
 +dEQP-VK.glsl.constants.float_1_fragment
 +dEQP-VK.glsl.constants.float_2_vertex
 +dEQP-VK.glsl.constants.float_2_fragment
 +dEQP-VK.glsl.constants.float_3_vertex
 +dEQP-VK.glsl.constants.float_3_fragment
 +dEQP-VK.glsl.constants.float_4_vertex
 +dEQP-VK.glsl.constants.float_4_fragment
 +dEQP-VK.glsl.constants.float_5_vertex
 +dEQP-VK.glsl.constants.float_5_fragment
 +dEQP-VK.glsl.constants.float_6_vertex
 +dEQP-VK.glsl.constants.float_6_fragment
 +dEQP-VK.glsl.constants.float_7_vertex
 +dEQP-VK.glsl.constants.float_7_fragment
 +dEQP-VK.glsl.constants.float_8_vertex
 +dEQP-VK.glsl.constants.float_8_fragment
 +dEQP-VK.glsl.constants.float_f_suffix_0_vertex
 +dEQP-VK.glsl.constants.float_f_suffix_0_fragment
 +dEQP-VK.glsl.constants.float_f_suffix_1_vertex
 +dEQP-VK.glsl.constants.float_f_suffix_1_fragment
 +dEQP-VK.glsl.constants.int_0_vertex
 +dEQP-VK.glsl.constants.int_0_fragment
 +dEQP-VK.glsl.constants.int_1_vertex
 +dEQP-VK.glsl.constants.int_1_fragment
 +dEQP-VK.glsl.constants.int_2_vertex
 +dEQP-VK.glsl.constants.int_2_fragment
 +dEQP-VK.glsl.constants.int_3_vertex
 +dEQP-VK.glsl.constants.int_3_fragment
 +dEQP-VK.glsl.constants.int_4_vertex
 +dEQP-VK.glsl.constants.int_4_fragment
 +dEQP-VK.glsl.constants.bool_0_vertex
 +dEQP-VK.glsl.constants.bool_0_fragment
 +dEQP-VK.glsl.constants.bool_1_vertex
 +dEQP-VK.glsl.constants.bool_1_fragment
 +dEQP-VK.glsl.constants.const_float_global_vertex
 +dEQP-VK.glsl.constants.const_float_global_fragment
 +dEQP-VK.glsl.constants.const_float_main_vertex
 +dEQP-VK.glsl.constants.const_float_main_fragment
 +dEQP-VK.glsl.constants.const_float_function_vertex
 +dEQP-VK.glsl.constants.const_float_function_fragment
 +dEQP-VK.glsl.constants.const_float_scope_vertex
 +dEQP-VK.glsl.constants.const_float_scope_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_1_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_1_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_2_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_2_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_3_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_3_fragment
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_4_vertex
 +dEQP-VK.glsl.constants.const_float_scope_shawdowing_4_fragment
 +dEQP-VK.glsl.constants.const_float_operations_with_const_vertex
 +dEQP-VK.glsl.constants.const_float_operations_with_const_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_1_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_1_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_2_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_2_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_3_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_3_fragment
 +dEQP-VK.glsl.constants.const_float_assignment_4_vertex
 +dEQP-VK.glsl.constants.const_float_assignment_4_fragment
 +dEQP-VK.glsl.constants.const_float_from_int_vertex
 +dEQP-VK.glsl.constants.const_float_from_int_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec2_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec2_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec3_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec3_fragment
 +dEQP-VK.glsl.constants.const_float_from_vec4_vertex
 +dEQP-VK.glsl.constants.const_float_from_vec4_fragment
 +dEQP-VK.glsl.constants.int_decimal_vertex
 +dEQP-VK.glsl.constants.int_decimal_fragment
 +dEQP-VK.glsl.constants.int_octal_vertex
 +dEQP-VK.glsl.constants.int_octal_fragment
 +dEQP-VK.glsl.constants.int_hexadecimal_0_vertex
 +dEQP-VK.glsl.constants.int_hexadecimal_0_fragment
 +dEQP-VK.glsl.constants.int_hexadecimal_1_vertex
 +dEQP-VK.glsl.constants.int_hexadecimal_1_fragment
 +dEQP-VK.glsl.constants.uint_decimal_0_vertex
 +dEQP-VK.glsl.constants.uint_decimal_0_fragment
 +dEQP-VK.glsl.constants.uint_decimal_1_vertex
 +dEQP-VK.glsl.constants.uint_decimal_1_fragment
 +dEQP-VK.glsl.constants.uint_decimal_2_vertex
 +dEQP-VK.glsl.constants.uint_decimal_2_fragment
 +dEQP-VK.glsl.constants.uint_decimal_3_vertex
 +dEQP-VK.glsl.constants.uint_decimal_3_fragment
 +dEQP-VK.glsl.constants.uint_octal_0_vertex
 +dEQP-VK.glsl.constants.uint_octal_0_fragment
 +dEQP-VK.glsl.constants.uint_octal_1_vertex
 +dEQP-VK.glsl.constants.uint_octal_1_fragment
 +dEQP-VK.glsl.constants.uint_hexadecimal_0_vertex
 +dEQP-VK.glsl.constants.uint_hexadecimal_0_fragment
 +dEQP-VK.glsl.constants.uint_hexadecimal_1_vertex
 +dEQP-VK.glsl.constants.uint_hexadecimal_1_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_float_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_float_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_int_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_int_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_bool_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_bool_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.float_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.int_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.uint_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_uint_vertex
 +dEQP-VK.glsl.conversions.scalar_to_scalar.bool_to_uint_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.uint_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_vector.bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_float_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_float_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_int_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_int_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_bool_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_bool_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.vec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.ivec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.uvec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec2_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec3_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_uint_vertex
 +dEQP-VK.glsl.conversions.vector_to_scalar.bvec4_to_uint_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec4_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec3_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.vec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.ivec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.uvec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_to_vector.bvec2_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.uint_to_mat2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.scalar_to_matrix.bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat4x2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat3x2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x4_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2x3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_to_matrix.mat2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_vec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_vec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_ivec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_ivec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_bvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_bvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_vec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_bvec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_uint_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_ivec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bvec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec3_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec3_float_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_ivec2_int_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_ivec2_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec3_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_uvec4_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uvec2_bool_to_uvec4_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_vec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_vec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_ivec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_ivec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_bvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_bvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_uint_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_float_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.vec2_bool_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_float_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bvec2_int_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_ivec2_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_uvec3_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_uvec2_to_uvec3_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_vec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_vec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_ivec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_ivec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_bvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_bvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.bool_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_int_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.float_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_bool_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.int_uint_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_uvec2_vertex
 +dEQP-VK.glsl.conversions.vector_combine.uint_float_to_uvec2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_vec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_vec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec2_bvec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec2_bvec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.uint_uint_uint_uint_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.uint_uint_uint_uint_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_ivec2_int_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_ivec2_int_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_ivec2_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_ivec2_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_uvec3_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_uvec3_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_uvec2_bool_to_mat2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_uvec2_bool_to_mat2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat2x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat2x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat2x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat2x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_bvec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_float_float_float_float_float_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.int_int_int_int_int_int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bool_bool_bool_bool_bool_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_bool_float_int_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_ivec3_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_bvec4_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec3_float_ivec2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat3x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_float_bvec2_to_mat3x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec3_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec3_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_float_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_float_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_bool_to_mat3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_bool_to_mat3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat3x4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat3x4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec3_vec3_vec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec3_ivec3_ivec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_int_bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec2_bool_bvec2_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec2_int_vec4_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat4x2_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec2_bool_to_mat4x2_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec2_ivec2_float_float_float_int_int_bool_bool_bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_float_int_vec3_bool_bvec3_float_bool_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bool_bvec4_int_vec4_bool_float_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat4x3_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_bvec4_ivec4_bool_bool_int_to_mat4x3_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_vec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.vec4_vec4_vec4_vec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_ivec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.ivec4_ivec4_ivec4_ivec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.bvec4_bvec4_bvec4_bvec4_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.bvec4_bvec4_bvec4_bvec4_to_mat4_fragment
 +dEQP-VK.glsl.conversions.matrix_combine.float_ivec3_bvec3_vec4_ivec2_float_vec2_to_mat4_vertex
 +dEQP-VK.glsl.conversions.matrix_combine.float_ivec3_bvec3_vec4_ivec2_float_vec2_to_mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_float_vertex
 +dEQP-VK.glsl.functions.datatypes.float_float_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec2_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec2_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec3_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec3_fragment
 +dEQP-VK.glsl.functions.datatypes.float_vec4_vertex
 +dEQP-VK.glsl.functions.datatypes.float_vec4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat2_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat2_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat3_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat3_fragment
 +dEQP-VK.glsl.functions.datatypes.float_mat4_vertex
 +dEQP-VK.glsl.functions.datatypes.float_mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.int_int_vertex
 +dEQP-VK.glsl.functions.datatypes.int_int_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec2_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec2_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec3_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec3_fragment
 +dEQP-VK.glsl.functions.datatypes.int_ivec4_vertex
 +dEQP-VK.glsl.functions.datatypes.int_ivec4_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uint_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uint_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec2_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec2_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec3_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec3_fragment
 +dEQP-VK.glsl.functions.datatypes.uint_uvec4_vertex
 +dEQP-VK.glsl.functions.datatypes.uint_uvec4_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bool_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bool_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec2_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec2_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec3_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec3_fragment
 +dEQP-VK.glsl.functions.datatypes.bool_bvec4_vertex
 +dEQP-VK.glsl.functions.datatypes.bool_bvec4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2x3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2x3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat2x4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat2x4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3x2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3x2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat3x4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat3x4_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4x2_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4x2_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4x3_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4x3_fragment
 +dEQP-VK.glsl.functions.datatypes.mat4_vertex
 +dEQP-VK.glsl.functions.datatypes.mat4_fragment
 +dEQP-VK.glsl.functions.datatypes.float_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.float_struct_fragment
 +dEQP-VK.glsl.functions.datatypes.struct_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.struct_struct_fragment
 +dEQP-VK.glsl.functions.datatypes.struct_nested_struct_vertex
 +dEQP-VK.glsl.functions.datatypes.struct_nested_struct_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_in_float_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_in_float_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_lowp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_highp_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_in_int_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_in_int_fragment
 +dEQP-VK.glsl.functions.qualifiers.in_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.in_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.out_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.out_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.inout_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.inout_bool_fragment
 +dEQP-VK.glsl.functions.qualifiers.const_bool_vertex
 +dEQP-VK.glsl.functions.qualifiers.const_bool_fragment
 +dEQP-VK.glsl.functions.declarations.basic_vertex
 +dEQP-VK.glsl.functions.declarations.basic_fragment
 +dEQP-VK.glsl.functions.declarations.basic_arg_vertex
 +dEQP-VK.glsl.functions.declarations.basic_arg_fragment
 +dEQP-VK.glsl.functions.declarations.define_after_use_vertex
 +dEQP-VK.glsl.functions.declarations.define_after_use_fragment
 +dEQP-VK.glsl.functions.declarations.double_declare_vertex
 +dEQP-VK.glsl.functions.declarations.double_declare_fragment
 +dEQP-VK.glsl.functions.declarations.declare_after_define_vertex
 +dEQP-VK.glsl.functions.declarations.declare_after_define_fragment
 +dEQP-VK.glsl.functions.declarations.void_vs_no_void_vertex
 +dEQP-VK.glsl.functions.declarations.void_vs_no_void_fragment
 +dEQP-VK.glsl.functions.declarations.in_vs_no_in_vertex
 +dEQP-VK.glsl.functions.declarations.in_vs_no_in_fragment
 +dEQP-VK.glsl.functions.declarations.default_vs_explicit_precision_vertex
 +dEQP-VK.glsl.functions.declarations.default_vs_explicit_precision_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_type_simple_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_type_simple_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_float_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_float_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_int_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_int_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_bool_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_bool_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_basic_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_basic_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arg_complex_types_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arg_complex_types_fragment
 +dEQP-VK.glsl.functions.overloading.user_func_arguments_vertex
 +dEQP-VK.glsl.functions.overloading.user_func_arguments_fragment
 +dEQP-VK.glsl.functions.overloading.array_size_vertex
 +dEQP-VK.glsl.functions.overloading.array_size_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_float_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_float_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_float_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_float_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_int_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_int_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_int_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_int_fragment
 +dEQP-VK.glsl.functions.array_arguments.local_in_bool_vertex
 +dEQP-VK.glsl.functions.array_arguments.local_in_bool_fragment
 +dEQP-VK.glsl.functions.array_arguments.global_in_bool_vertex
 +dEQP-VK.glsl.functions.array_arguments.global_in_bool_fragment
 +dEQP-VK.glsl.functions.array_arguments.test_helpers_vertex
 +dEQP-VK.glsl.functions.array_arguments.test_helpers_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_local_in_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_local_in_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_global_in_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_global_in_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_local_inout_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_local_inout_on_call_fragment
 +dEQP-VK.glsl.functions.array_arguments.copy_global_inout_on_call_vertex
 +dEQP-VK.glsl.functions.array_arguments.copy_global_inout_on_call_fragment
 +dEQP-VK.glsl.functions.control_flow.simple_return_vertex
 +dEQP-VK.glsl.functions.control_flow.simple_return_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_if_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_if_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_else_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_else_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_if_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_loop_if_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_break_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_break_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_continue_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_continue_fragment
 +dEQP-VK.glsl.functions.control_flow.return_in_nested_loop_vertex
 +dEQP-VK.glsl.functions.control_flow.return_in_nested_loop_fragment
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_sequence_vertex
 +dEQP-VK.glsl.functions.control_flow.return_after_loop_sequence_fragment
 +dEQP-VK.glsl.functions.control_flow.mixed_return_break_continue_vertex
 +dEQP-VK.glsl.functions.control_flow.mixed_return_break_continue_fragment
 +dEQP-VK.glsl.functions.misc.multi_arg_float_vertex
 +dEQP-VK.glsl.functions.misc.multi_arg_float_fragment
 +dEQP-VK.glsl.functions.misc.multi_arg_int_vertex
 +dEQP-VK.glsl.functions.misc.multi_arg_int_fragment
 +dEQP-VK.glsl.functions.misc.argument_eval_order_1_vertex
 +dEQP-VK.glsl.functions.misc.argument_eval_order_1_fragment
 +dEQP-VK.glsl.functions.misc.argument_eval_order_2_vertex
 +dEQP-VK.glsl.functions.misc.argument_eval_order_2_fragment
 +dEQP-VK.glsl.linkage.varying.rules.vertex_declare
 +dEQP-VK.glsl.linkage.varying.rules.both_declare
 +dEQP-VK.glsl.linkage.varying.rules.vertex_declare_fragment_use
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_fragment_declare
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_declare_fragment
 +dEQP-VK.glsl.linkage.varying.rules.vertex_use_fragment_use
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_1
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_2
 +dEQP-VK.glsl.linkage.varying.rules.differing_precision_3
 +dEQP-VK.glsl.linkage.varying.rules.differing_interpolation_2
 +dEQP-VK.glsl.linkage.varying.rules.differing_name_1
 +dEQP-VK.glsl.linkage.varying.rules.differing_name_2
 +dEQP-VK.glsl.linkage.varying.basic_types.float
 +dEQP-VK.glsl.linkage.varying.basic_types.vec2
 +dEQP-VK.glsl.linkage.varying.basic_types.vec3
 +dEQP-VK.glsl.linkage.varying.basic_types.vec4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2x3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat2x4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3x2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat3x4
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4x2
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4x3
 +dEQP-VK.glsl.linkage.varying.basic_types.mat4
 +dEQP-VK.glsl.linkage.varying.basic_types.int
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec2
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec3
 +dEQP-VK.glsl.linkage.varying.basic_types.ivec4
 +dEQP-VK.glsl.linkage.varying.basic_types.uint
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec2
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec3
 +dEQP-VK.glsl.linkage.varying.basic_types.uvec4
 +dEQP-VK.glsl.linkage.varying.struct.float
 +dEQP-VK.glsl.linkage.varying.struct.vec2
 +dEQP-VK.glsl.linkage.varying.struct.vec3
 +dEQP-VK.glsl.linkage.varying.struct.vec4
 +dEQP-VK.glsl.linkage.varying.struct.mat2
 +dEQP-VK.glsl.linkage.varying.struct.mat2x3
 +dEQP-VK.glsl.linkage.varying.struct.mat2x4
 +dEQP-VK.glsl.linkage.varying.struct.mat3x2
 +dEQP-VK.glsl.linkage.varying.struct.mat3
 +dEQP-VK.glsl.linkage.varying.struct.mat3x4
 +dEQP-VK.glsl.linkage.varying.struct.mat4x2
 +dEQP-VK.glsl.linkage.varying.struct.mat4x3
 +dEQP-VK.glsl.linkage.varying.struct.mat4
 +dEQP-VK.glsl.linkage.varying.struct.int
 +dEQP-VK.glsl.linkage.varying.struct.ivec2
 +dEQP-VK.glsl.linkage.varying.struct.ivec3
 +dEQP-VK.glsl.linkage.varying.struct.ivec4
 +dEQP-VK.glsl.linkage.varying.struct.uint
 +dEQP-VK.glsl.linkage.varying.struct.uvec2
 +dEQP-VK.glsl.linkage.varying.struct.uvec3
 +dEQP-VK.glsl.linkage.varying.struct.uvec4
 +dEQP-VK.glsl.linkage.varying.struct.float_vec3
 +dEQP-VK.glsl.linkage.varying.struct.float_uvec2_vec3
 +dEQP-VK.glsl.linkage.varying.interpolation.smooth
 +dEQP-VK.glsl.linkage.varying.interpolation.centroid
 +dEQP-VK.glsl.linkage.varying.interpolation.flat
 +dEQP-VK.glsl.linkage.varying.usage.readback_1
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.block_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_local_variable_vertex
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_local_variable_fragment
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.for_init_statement_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.while_condition_variable_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.variable_in_if_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.variable_in_if_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.variable_from_outer_scope_visible_in_initializer_vertex
 +dEQP-VK.glsl.scoping.valid.variable_from_outer_scope_visible_in_initializer_fragment
 +dEQP-VK.glsl.scoping.valid.local_int_variable_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.local_int_variable_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.local_struct_variable_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.local_struct_variable_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_function_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_hides_function_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_global_variable_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_global_variable_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_struct_type_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_struct_type_fragment
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_function_vertex
 +dEQP-VK.glsl.scoping.valid.function_parameter_hides_function_fragment
 +dEQP-VK.glsl.scoping.valid.local_variable_in_inner_scope_hides_function_parameter_vertex
 +dEQP-VK.glsl.scoping.valid.local_variable_in_inner_scope_hides_function_parameter_fragment
 +dEQP-VK.glsl.scoping.valid.redeclare_function_vertex
 +dEQP-VK.glsl.scoping.valid.redeclare_function_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_vec4_bbab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_ivec4_bbab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_st_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_st_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_ts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec2_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_z_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_z_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_yxy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_yxy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xzx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xzx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_xyyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzzz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_zzzz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_p_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_p_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_ppt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_tst_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_tst_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sps_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_sps_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_stts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pppp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_pppp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_b_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_b_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rgb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rgb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_grg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_grg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rbr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rbr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rggr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_rggr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbbb_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec3_bbbb_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_x_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_x_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_w_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_w_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_www_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_www_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzyx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzyx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xxxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xxxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyyy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_yyyy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwww_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwww_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzzw_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wzzw_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwwy_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_wwwy_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyxx_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_xyxx_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_zzwz_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_zzwz_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_s_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_s_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_q_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_q_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qs_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qs_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ttq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ttq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stpq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stpq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpts_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qpts_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ssss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ssss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_tttt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_tttt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qppq_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qppq_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqt_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_qqqt_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stss_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_stss_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ppqp_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ppqp_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_r_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_r_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_a_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_a_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ar_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ar_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_ab_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gga_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gga_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abgr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abgr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rrrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rrrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gggg_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_gggg_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaaa_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaaa_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abba_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_abba_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaag_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_aaag_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgrr_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_rgrr_fragment
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_bbab_vertex
 +dEQP-VK.glsl.swizzles.vector_swizzles.mediump_bvec4_bbab_fragment
 +dEQP-VK.glsl.derivate.dfdx.constant.float
 +dEQP-VK.glsl.derivate.dfdx.constant.vec2
 +dEQP-VK.glsl.derivate.dfdx.constant.vec3
 +dEQP-VK.glsl.derivate.dfdx.constant.vec4
 +dEQP-VK.glsl.derivate.dfdx.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdx.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.constant.float
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec2
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec3
 +dEQP-VK.glsl.derivate.dfdxfine.constant.vec4
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.float
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec2
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec3
 +dEQP-VK.glsl.derivate.dfdxcoarse.constant.vec4
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdxcoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.constant.float
 +dEQP-VK.glsl.derivate.dfdy.constant.vec2
 +dEQP-VK.glsl.derivate.dfdy.constant.vec3
 +dEQP-VK.glsl.derivate.dfdy.constant.vec4
 +dEQP-VK.glsl.derivate.dfdy.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdy.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.constant.float
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec2
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec3
 +dEQP-VK.glsl.derivate.dfdyfine.constant.vec4
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdyfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.float
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec2
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec3
 +dEQP-VK.glsl.derivate.dfdycoarse.constant.vec4
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.dfdycoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.constant.float
 +dEQP-VK.glsl.derivate.fwidth.constant.vec2
 +dEQP-VK.glsl.derivate.fwidth.constant.vec3
 +dEQP-VK.glsl.derivate.fwidth.constant.vec4
 +dEQP-VK.glsl.derivate.fwidth.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidth.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.constant.float
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec2
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec3
 +dEQP-VK.glsl.derivate.fwidthfine.constant.vec4
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthfine.texture.float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.float
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec2
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec3
 +dEQP-VK.glsl.derivate.fwidthcoarse.constant.vec4
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.linear.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.in_function.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.static_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_if.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_loop.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.uniform_switch.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa2.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.fbo_float.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.basic.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.msaa4.vec4_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.float_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec2_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec3_highp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_lowp
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_mediump
 +dEQP-VK.glsl.derivate.fwidthcoarse.texture.float.vec4_highp
 +dEQP-VK.glsl.discard.basic_always
 +dEQP-VK.glsl.discard.basic_never
 +dEQP-VK.glsl.discard.basic_uniform
 +dEQP-VK.glsl.discard.basic_dynamic
 +dEQP-VK.glsl.discard.basic_texture
 +dEQP-VK.glsl.discard.function_always
 +dEQP-VK.glsl.discard.function_never
 +dEQP-VK.glsl.discard.function_uniform
 +dEQP-VK.glsl.discard.function_dynamic
 +dEQP-VK.glsl.discard.function_texture
 +dEQP-VK.glsl.discard.static_loop_always
 +dEQP-VK.glsl.discard.static_loop_never
 +dEQP-VK.glsl.discard.static_loop_uniform
 +dEQP-VK.glsl.discard.static_loop_dynamic
 +dEQP-VK.glsl.discard.static_loop_texture
 +dEQP-VK.glsl.discard.dynamic_loop_always
 +dEQP-VK.glsl.discard.dynamic_loop_never
 +dEQP-VK.glsl.discard.dynamic_loop_uniform
 +dEQP-VK.glsl.discard.dynamic_loop_dynamic
 +dEQP-VK.glsl.discard.dynamic_loop_texture
 +dEQP-VK.glsl.discard.function_static_loop_always
 +dEQP-VK.glsl.discard.function_static_loop_never
 +dEQP-VK.glsl.discard.function_static_loop_uniform
 +dEQP-VK.glsl.discard.function_static_loop_dynamic
 +dEQP-VK.glsl.discard.function_static_loop_texture
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.float_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec2_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec3_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_static_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_static_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_dynamic_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_static_loop_read
 +dEQP-VK.glsl.indexing.varying_array.vec4_dynamic_loop_write_dynamic_loop_read
 +dEQP-VK.glsl.indexing.uniform_array.float_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.float_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec2_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec3_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.uniform_array.vec4_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.float_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.tmp_array.vec4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec2_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec3_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_direct_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_component_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_static_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_direct_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_direct_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_component_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_component_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_static_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_loop_subscript_read_vertex
 +dEQP-VK.glsl.indexing.vector_subscript.vec4_dynamic_loop_subscript_write_dynamic_loop_subscript_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat2x4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat3x4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x2_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4x3_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_static_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_static_loop_read_fragment
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_loop_read_vertex
 +dEQP-VK.glsl.indexing.matrix_subscript.mat4_dynamic_loop_write_dynamic_loop_read_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.for_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.while_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_constant_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_uniform_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_mediump_float_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_int_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_int_fragment
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_float_vertex
 +dEQP-VK.glsl.loops.generic.do_while_dynamic_iterations.basic_highp_float_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.for_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.no_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.no_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.while_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_constant_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_uniform_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.empty_body_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.empty_body_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_first_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_first_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_last_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_unconditional_break_last_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.infinite_with_conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.compound_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.compound_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_statement_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_statement_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_iteration_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.single_iteration_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.select_iteration_count_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.select_iteration_count_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.only_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.only_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.double_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.double_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.conditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_break_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.unconditional_break_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.pre_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.pre_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.post_increment_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.post_increment_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.mixed_break_continue_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.mixed_break_continue_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.vector_counter_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.vector_counter_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.101_iterations_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.101_iterations_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_sequence_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_sequence_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_1_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_1_fragment
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_2_vertex
 +dEQP-VK.glsl.loops.special.do_while_dynamic_iterations.nested_tricky_dataflow_2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.add.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.sub.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x3_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_vec2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_vec2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat2x4_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x2_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_vec3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_vec3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat2x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat2x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat3x4_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x2_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4x3_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_vec4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_vec4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_vec4_mat4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.mul.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.const.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.const.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.uniform.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.div.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2_mat2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2_mat2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x3_mat2x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x3_mat2x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x4_mat2x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat2x4_mat2x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x2_mat3x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x2_mat3x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3_mat3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3_mat3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x4_mat3x4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat3x4_mat3x4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x2_mat4x2_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x2_mat4x2_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x3_mat4x3_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4x3_mat4x3_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.mediump_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4_mat4_vertex
 +dEQP-VK.glsl.matrix.matrixcompmult.dynamic.highp_mat4_mat4_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.outerproduct.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.transpose.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.determinant.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.inverse.dynamic.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.unary_addition.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.negation.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.negation.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.negation.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.negation.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_increment.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.pre_decrement.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_increment.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.post_decrement.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.add_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.sub_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.mul_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat2x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat3x4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x2_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x2_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x3_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4x3_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.mediump_mat4_float_fragment
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4_float_vertex
 +dEQP-VK.glsl.matrix.div_assign.highp_mat4_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.plus.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.minus.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.not.bool_vertex
 +dEQP-VK.glsl.operator.unary_operator.not.bool_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.bitwise_not.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_increment_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.pre_decrement_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_increment_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_float_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_float_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_int_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_int_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.unary_operator.post_decrement_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_int_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.mediump_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor.highp_uint_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_effect.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_effect.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.add_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.sub_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mul_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.div_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.mod_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_and_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_or_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.bitwise_xor_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.left_shift_assign_result.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uint_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.mediump_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec2_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec3_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.right_shift_assign_result.highp_uvec4_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.less_or_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.greater_or_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.equal.bvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_float_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_float_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_int_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_int_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uint_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uint_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec2_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec2_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec3_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec3_fragment
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec4_vertex
 +dEQP-VK.glsl.operator.binary_operator.not_equal.bvec4_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_and.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_and.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_or.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_or.bool_fragment
 +dEQP-VK.glsl.operator.binary_operator.logical_xor.bool_vertex
 +dEQP-VK.glsl.operator.binary_operator.logical_xor.bool_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.radians.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.degrees.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sin2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cos2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tan2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asin.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acos.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atan2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.sinh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.cosh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.tanh2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.asinh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.acosh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_float_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_float_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec2_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec2_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec3_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec3_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec4_vertex
 +dEQP-VK.glsl.operator.angle_and_trigonometry.atanh.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.pow.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.pow.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.exp2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log2.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.log2.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.sqrt.highp_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_float_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_float_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_float_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_float_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec2_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec2_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec3_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec3_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec4_vertex
 +dEQP-VK.glsl.operator.exponential.inversesqrt.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.abs.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.sign.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.floor.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.trunc.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.roundEven.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.ceil.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.fract.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mod.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.min.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.max.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec2_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec3_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_int_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_ivec4_int_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec2_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec3_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.mediump_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_uint_vertex
 +dEQP-VK.glsl.operator.common_functions.clamp.highp_uvec4_uint_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.common_functions.mix.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.step.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec2_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec2_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec3_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec3_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.mediump_float_vec4_fragment
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec4_vertex
 +dEQP-VK.glsl.operator.common_functions.smoothstep.highp_float_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.length.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.length.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.length.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.distance.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.distance.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.dot.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.dot.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.cross.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.cross.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.cross.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.cross.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.normalize.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.faceforward.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec2_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec2_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec3_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec3_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec4_vertex
 +dEQP-VK.glsl.operator.geometric.reflect.highp_vec4_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec2_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec2_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec2_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec2_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec3_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec3_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec3_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec3_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec4_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.mediump_vec4_float_fragment
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec4_float_vertex
 +dEQP-VK.glsl.operator.geometric.refract.highp_vec4_float_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.lessThanEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThan.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.greaterThanEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.equal.highp_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec2_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec2_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec3_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec3_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec4_vertex
 +dEQP-VK.glsl.operator.float_compare.notEqual.highp_vec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThan.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.lessThanEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThan.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.greaterThanEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.equal.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.int_compare.notEqual.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.equal.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.notEqual.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.any.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.any.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.all.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.all.bvec4_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec2_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec2_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec3_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec3_fragment
 +dEQP-VK.glsl.operator.bool_compare.not.bvec4_vertex
 +dEQP-VK.glsl.operator.bool_compare.not.bvec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_float_vertex
 +dEQP-VK.glsl.operator.selection.mediump_float_fragment
 +dEQP-VK.glsl.operator.selection.highp_float_vertex
 +dEQP-VK.glsl.operator.selection.highp_float_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_vec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_vec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_int_vertex
 +dEQP-VK.glsl.operator.selection.mediump_int_fragment
 +dEQP-VK.glsl.operator.selection.highp_int_vertex
 +dEQP-VK.glsl.operator.selection.highp_int_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_ivec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_ivec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_ivec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_ivec4_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uint_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uint_fragment
 +dEQP-VK.glsl.operator.selection.highp_uint_vertex
 +dEQP-VK.glsl.operator.selection.highp_uint_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec2_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec2_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec2_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec2_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec3_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec3_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec3_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec3_fragment
 +dEQP-VK.glsl.operator.selection.mediump_uvec4_vertex
 +dEQP-VK.glsl.operator.selection.mediump_uvec4_fragment
 +dEQP-VK.glsl.operator.selection.highp_uvec4_vertex
 +dEQP-VK.glsl.operator.selection.highp_uvec4_fragment
 +dEQP-VK.glsl.operator.selection.bool_vertex
 +dEQP-VK.glsl.operator.selection.bool_fragment
 +dEQP-VK.glsl.operator.selection.bvec2_vertex
 +dEQP-VK.glsl.operator.selection.bvec2_fragment
 +dEQP-VK.glsl.operator.selection.bvec3_vertex
 +dEQP-VK.glsl.operator.selection.bvec3_fragment
 +dEQP-VK.glsl.operator.selection.bvec4_vertex
 +dEQP-VK.glsl.operator.selection.bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.mediump_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.no_side_effects.highp_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_float_uint_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_float_uint_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_bool_vec2_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_bool_vec2_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.mediump_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_ivec4_bvec4_vertex
 +dEQP-VK.glsl.operator.sequence.side_effects.highp_vec4_ivec4_bvec4_fragment
 +dEQP-VK.glsl.return.single_return_vertex
 +dEQP-VK.glsl.return.single_return_fragment
 +dEQP-VK.glsl.return.conditional_return_always_vertex
 +dEQP-VK.glsl.return.conditional_return_always_fragment
 +dEQP-VK.glsl.return.conditional_return_never_vertex
 +dEQP-VK.glsl.return.conditional_return_never_fragment
 +dEQP-VK.glsl.return.conditional_return_dynamic_vertex
 +dEQP-VK.glsl.return.conditional_return_dynamic_fragment
 +dEQP-VK.glsl.return.double_return_vertex
 +dEQP-VK.glsl.return.double_return_fragment
 +dEQP-VK.glsl.return.last_statement_in_main_vertex
 +dEQP-VK.glsl.return.last_statement_in_main_fragment
 +dEQP-VK.glsl.return.output_write_always_vertex
 +dEQP-VK.glsl.return.output_write_always_fragment
 +dEQP-VK.glsl.return.output_write_never_vertex
 +dEQP-VK.glsl.return.output_write_never_fragment
 +dEQP-VK.glsl.return.output_write_dynamic_vertex
 +dEQP-VK.glsl.return.output_write_dynamic_fragment
 +dEQP-VK.glsl.return.output_write_in_func_always_vertex
 +dEQP-VK.glsl.return.output_write_in_func_always_fragment
 +dEQP-VK.glsl.return.output_write_in_func_never_vertex
 +dEQP-VK.glsl.return.output_write_in_func_never_fragment
 +dEQP-VK.glsl.return.output_write_in_func_dynamic_vertex
 +dEQP-VK.glsl.return.output_write_in_func_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_always_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_always_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_never_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_never_fragment
 +dEQP-VK.glsl.return.return_in_static_loop_dynamic_vertex
 +dEQP-VK.glsl.return.return_in_static_loop_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_always_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_always_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_never_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_never_fragment
 +dEQP-VK.glsl.return.return_in_dynamic_loop_dynamic_vertex
 +dEQP-VK.glsl.return.return_in_dynamic_loop_dynamic_fragment
 +dEQP-VK.glsl.return.return_in_infinite_loop_vertex
 +dEQP-VK.glsl.return.return_in_infinite_loop_fragment
 +dEQP-VK.glsl.struct.local.basic_vertex
 +dEQP-VK.glsl.struct.local.basic_fragment
 +dEQP-VK.glsl.struct.local.nested_vertex
 +dEQP-VK.glsl.struct.local.nested_fragment
 +dEQP-VK.glsl.struct.local.array_member_vertex
 +dEQP-VK.glsl.struct.local.array_member_fragment
 +dEQP-VK.glsl.struct.local.array_member_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.array_member_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.struct_array_vertex
 +dEQP-VK.glsl.struct.local.struct_array_fragment
 +dEQP-VK.glsl.struct.local.struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.nested_struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.local.nested_struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.local.parameter_vertex
 +dEQP-VK.glsl.struct.local.parameter_fragment
 +dEQP-VK.glsl.struct.local.parameter_nested_vertex
 +dEQP-VK.glsl.struct.local.parameter_nested_fragment
 +dEQP-VK.glsl.struct.local.return_vertex
 +dEQP-VK.glsl.struct.local.return_fragment
 +dEQP-VK.glsl.struct.local.return_nested_vertex
 +dEQP-VK.glsl.struct.local.return_nested_fragment
 +dEQP-VK.glsl.struct.local.conditional_assignment_vertex
 +dEQP-VK.glsl.struct.local.conditional_assignment_fragment
 +dEQP-VK.glsl.struct.local.loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_conditional_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_conditional_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.nested_dynamic_loop_assignment_vertex
 +dEQP-VK.glsl.struct.local.nested_dynamic_loop_assignment_fragment
 +dEQP-VK.glsl.struct.local.loop_struct_array_vertex
 +dEQP-VK.glsl.struct.local.loop_struct_array_fragment
 +dEQP-VK.glsl.struct.local.loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_struct_array_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_struct_array_fragment
 +dEQP-VK.glsl.struct.local.dynamic_loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.local.dynamic_loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.local.basic_equal_vertex
 +dEQP-VK.glsl.struct.local.basic_equal_fragment
 +dEQP-VK.glsl.struct.local.basic_not_equal_vertex
 +dEQP-VK.glsl.struct.local.basic_not_equal_fragment
 +dEQP-VK.glsl.struct.local.nested_equal_vertex
 +dEQP-VK.glsl.struct.local.nested_equal_fragment
 +dEQP-VK.glsl.struct.local.nested_not_equal_vertex
 +dEQP-VK.glsl.struct.local.nested_not_equal_fragment
 +dEQP-VK.glsl.struct.uniform.basic_vertex
 +dEQP-VK.glsl.struct.uniform.basic_fragment
 +dEQP-VK.glsl.struct.uniform.nested_vertex
 +dEQP-VK.glsl.struct.uniform.nested_fragment
 +dEQP-VK.glsl.struct.uniform.array_member_vertex
 +dEQP-VK.glsl.struct.uniform.array_member_fragment
 +dEQP-VK.glsl.struct.uniform.array_member_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.array_member_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_dynamic_index_vertex
 +dEQP-VK.glsl.struct.uniform.nested_struct_array_dynamic_index_fragment
 +dEQP-VK.glsl.struct.uniform.loop_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.loop_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_nested_struct_array_vertex
 +dEQP-VK.glsl.struct.uniform.dynamic_loop_nested_struct_array_fragment
 +dEQP-VK.glsl.struct.uniform.equal_vertex
 +dEQP-VK.glsl.struct.uniform.equal_fragment
 +dEQP-VK.glsl.struct.uniform.not_equal_vertex
 +dEQP-VK.glsl.struct.uniform.not_equal_fragment
 +dEQP-VK.glsl.switch.basic_static_vertex
 +dEQP-VK.glsl.switch.basic_static_fragment
 +dEQP-VK.glsl.switch.basic_uniform_vertex
 +dEQP-VK.glsl.switch.basic_uniform_fragment
 +dEQP-VK.glsl.switch.basic_dynamic_vertex
 +dEQP-VK.glsl.switch.basic_dynamic_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_static_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_static_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_uniform_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_uniform_fragment
 +dEQP-VK.glsl.switch.const_expr_in_label_dynamic_vertex
 +dEQP-VK.glsl.switch.const_expr_in_label_dynamic_fragment
 +dEQP-VK.glsl.switch.default_label_static_vertex
 +dEQP-VK.glsl.switch.default_label_static_fragment
 +dEQP-VK.glsl.switch.default_label_uniform_vertex
 +dEQP-VK.glsl.switch.default_label_uniform_fragment
 +dEQP-VK.glsl.switch.default_label_dynamic_vertex
 +dEQP-VK.glsl.switch.default_label_dynamic_fragment
 +dEQP-VK.glsl.switch.default_not_last_static_vertex
 +dEQP-VK.glsl.switch.default_not_last_static_fragment
 +dEQP-VK.glsl.switch.default_not_last_uniform_vertex
 +dEQP-VK.glsl.switch.default_not_last_uniform_fragment
 +dEQP-VK.glsl.switch.default_not_last_dynamic_vertex
 +dEQP-VK.glsl.switch.default_not_last_dynamic_fragment
 +dEQP-VK.glsl.switch.no_default_label_static_vertex
 +dEQP-VK.glsl.switch.no_default_label_static_fragment
 +dEQP-VK.glsl.switch.no_default_label_uniform_vertex
 +dEQP-VK.glsl.switch.no_default_label_uniform_fragment
 +dEQP-VK.glsl.switch.no_default_label_dynamic_vertex
 +dEQP-VK.glsl.switch.no_default_label_dynamic_fragment
 +dEQP-VK.glsl.switch.fall_through_static_vertex
 +dEQP-VK.glsl.switch.fall_through_static_fragment
 +dEQP-VK.glsl.switch.fall_through_uniform_vertex
 +dEQP-VK.glsl.switch.fall_through_uniform_fragment
 +dEQP-VK.glsl.switch.fall_through_dynamic_vertex
 +dEQP-VK.glsl.switch.fall_through_dynamic_fragment
 +dEQP-VK.glsl.switch.fall_through_default_static_vertex
 +dEQP-VK.glsl.switch.fall_through_default_static_fragment
 +dEQP-VK.glsl.switch.fall_through_default_uniform_vertex
 +dEQP-VK.glsl.switch.fall_through_default_uniform_fragment
 +dEQP-VK.glsl.switch.fall_through_default_dynamic_vertex
 +dEQP-VK.glsl.switch.fall_through_default_dynamic_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_static_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_static_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_uniform_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_uniform_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_dynamic_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_dynamic_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_static_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_static_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_uniform_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_uniform_fragment
 +dEQP-VK.glsl.switch.conditional_fall_through_2_dynamic_vertex
 +dEQP-VK.glsl.switch.conditional_fall_through_2_dynamic_fragment
 +dEQP-VK.glsl.switch.scope_static_vertex
 +dEQP-VK.glsl.switch.scope_static_fragment
 +dEQP-VK.glsl.switch.scope_uniform_vertex
 +dEQP-VK.glsl.switch.scope_uniform_fragment
 +dEQP-VK.glsl.switch.scope_dynamic_vertex
 +dEQP-VK.glsl.switch.scope_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_if_static_vertex
 +dEQP-VK.glsl.switch.switch_in_if_static_fragment
 +dEQP-VK.glsl.switch.switch_in_if_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_if_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_if_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_if_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_for_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_for_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_while_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_while_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_static_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_static_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_do_while_loop_dynamic_fragment
 +dEQP-VK.glsl.switch.if_in_switch_static_vertex
 +dEQP-VK.glsl.switch.if_in_switch_static_fragment
 +dEQP-VK.glsl.switch.if_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.if_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.if_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.if_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.for_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.for_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.while_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.while_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_static_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_static_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.do_while_loop_in_switch_dynamic_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_static_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_static_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_uniform_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_uniform_fragment
 +dEQP-VK.glsl.switch.switch_in_switch_dynamic_vertex
 +dEQP-VK.glsl.switch.switch_in_switch_dynamic_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercube_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usamplercube_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.texture.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_samplercubeshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.samplercubeshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.texture.sparse_sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.texture.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2darray_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler2darray_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sparse_sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureoffset.sampler2dshadow_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec3_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler2d_vec4_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureproj.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec3_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec3_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler2d_vec4_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler2d_vec4_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_bias_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.sampler3d_bias_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.isampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.textureprojoffset.usampler3d_bias_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelod.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelod.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturelodoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlod.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlod.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojlodoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegrad.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegrad.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sparse_sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.texturegradoffset.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgrad.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec3_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec3_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec3_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler2d_vec4_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec4_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler2d_vec4_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.textureprojgradoffset.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.sparse_isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.texelfetch.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesize.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dms_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dms_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dms_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dms_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dms_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.sampler2dmsarray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dmsarray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.isampler2dmsarray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dmsarray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturesamples.usampler2dmsarray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler3d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler3d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler3d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercube_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubeshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercubearray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1d_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1dshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_fixed_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_float_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1darray_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darrayshadow_vertex
 +dEQP-VK.glsl.texture_functions.query.texturequerylevels.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler2d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercube_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercube_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usamplercube_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubeshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler2darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler2darrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usamplercubearray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.samplercubearrayshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1d_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1d_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler1d_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1dshadow_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darray_fixed_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darray_float_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.isampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.usampler1darray_fragment
 +dEQP-VK.glsl.texture_functions.query.texturequerylod.sampler1darrayshadow_fragment
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.no_corners.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.no_corners.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.basic.cube.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset.implementation_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offset_dynamic.implementation_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8ui.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_pot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.size_npot.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_red_green_blue_alpha
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_green_blue_alpha_zero
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_blue_alpha_zero_one
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_alpha_zero_one_red
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_zero_one_red_green
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.texture_swizzle.sparse_one_red_green_blue
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.filter_mode.min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.filter_mode.sparse_min_nearest_mipmap_nearest_mag_nearest
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.rgba8i.base_level.sparse_level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_pot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_less.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_clamp_to_edge_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_repeat_mirrored_repeat
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.size_npot.compare_greater.sparse_mirrored_repeat_clamp_to_edge
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_nearest_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_nearest_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.filter_mode.sparse_min_linear_mipmap_linear_mag_linear
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.sparse_level_1
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.level_2
 +dEQP-VK.glsl.texture_gather.offsets.min_required_offset.2d_array.depth32f.base_level.sparse_level_2
 +dEQP-VK.glsl.builtin_var.gl_frontfacing
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.abs.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.sign.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floor.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.trunc.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.round.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.roundeven.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ceil.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fract.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.modf.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isnan.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.isinf.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstoint.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.floatbitstouint.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.frexp.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.ldexp.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.float_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.float_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.fma.vec4_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.int_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.intbitstofloat.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.common.uintbitstofloat.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.uaddcarry.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.usubborrow.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.umulextended.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.imulextended.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldextract.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldinsert.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitfieldreverse.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.bitcount.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findlsb.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.int_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.ivec4_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uint_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec2_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec3_highp_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_mediump_compute
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_vertex
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_fragment
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_geometry
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_tess_control
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.integer.findMSB.uvec4_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm4x8_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm4x8_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm4x8_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_vertex
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_tess_control
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_tess_eval
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_fragment
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm4x8_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packsnorm2x16_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpacksnorm2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_mediump_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_mediump_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_highp_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packunorm2x16_highp_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackunorm2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.packhalf2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.packhalf2x16_compute
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackhalf2x16_geometry
 +dEQP-VK.glsl.builtin.function.pack_unpack.unpackhalf2x16_compute
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.add.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.add.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sub.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sub.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mul.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mul.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.div.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.radians.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.radians.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.degrees.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.degrees.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sin.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cos.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tan.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asin.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asin.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.acos.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.atan.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sinh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sinh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cosh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.cosh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tanh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.tanh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asinh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.asinh.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.acosh.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.pow.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.pow.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp2.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.exp2.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log2.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.log2.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sqrt.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sqrt.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.inversesqrt.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.inversesqrt.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.abs.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.abs.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sign.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.sign.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.floor.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.floor.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.trunc.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.trunc.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.round.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.round.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.roundeven.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.roundeven.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ceil.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ceil.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fract.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fract.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mod.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.modf.mediump_compute
 +dEQP-VK.glsl.builtin.precision.modf.highp_compute
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.min.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.max.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.clamp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mix.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.mix.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.step.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.step.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.length.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.length.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.distance.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.distance.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.dot.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.cross.mediump_compute
 +dEQP-VK.glsl.builtin.precision.cross.highp_compute
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.normalize.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.normalize.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.faceforward.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.faceforward.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.reflect.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.reflect.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.reflect.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.matrixcompmult.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.outerproduct.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.transpose.mediump_compute.mat4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2x3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat2x4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3x2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat3x4
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4x2
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4x3
 +dEQP-VK.glsl.builtin.precision.transpose.highp_compute.mat4
 +dEQP-VK.glsl.builtin.precision.determinant.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.determinant.highp_compute.mat2
 +dEQP-VK.glsl.builtin.precision.inverse.mediump_compute.mat2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.frexp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.ldexp.highp_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fma.mediump_compute.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_vertex.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_fragment.vec4
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.scalar
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec2
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec3
 +dEQP-VK.glsl.builtin.precision.fma.highp_compute.vec4
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_literal.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.const_expression.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.uniform.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.vertex.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.fragment.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.samplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2dshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.samplercubeshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.sampler2darrayshadow
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.isampler3d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler2d
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usamplercube
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler2darray
 +dEQP-VK.glsl.opaque_type_indexing.sampler.dynamically_uniform.compute.usampler3d
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ubo.dynamically_uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.ssbo.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_literal_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.const_expression_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.uniform_compute
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_vertex
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_fragment
 +dEQP-VK.glsl.opaque_type_indexing.atomic_counter.dynamically_uniform_compute
 +dEQP-VK.renderpass.simple.color
 +dEQP-VK.renderpass.simple.depth
 +dEQP-VK.renderpass.simple.stencil
 +dEQP-VK.renderpass.simple.depth_stencil
 +dEQP-VK.renderpass.simple.color_depth
 +dEQP-VK.renderpass.simple.color_stencil
 +dEQP-VK.renderpass.simple.color_depth_stencil
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.clear.clear_draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.load.clear_draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.clear
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.draw
 +dEQP-VK.renderpass.formats.r5g6b5_unorm_pack16.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.clear.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.load.clear_draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.clear
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.draw
 +dEQP-VK.renderpass.formats.r8g8b8a8_srgb.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_snorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_uint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_sint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a8b8g8r8_srgb_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.clear.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.load.clear_draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.clear
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.draw
 +dEQP-VK.renderpass.formats.b8g8r8a8_srgb.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2r10g10b10_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.a2b10g10r10_uint_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_snorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r16g16b16a16_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.r32g32b32a32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.clear.clear
 +dEQP-VK.renderpass.formats.d16_unorm.clear.draw
 +dEQP-VK.renderpass.formats.d16_unorm.clear.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.load.clear
 +dEQP-VK.renderpass.formats.d16_unorm.load.draw
 +dEQP-VK.renderpass.formats.d16_unorm.load.clear_draw
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.clear
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.draw
 +dEQP-VK.renderpass.formats.d16_unorm.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.clear.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.load.clear_draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.clear
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.draw
 +dEQP-VK.renderpass.formats.x8_d24_unorm_pack32.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.clear.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.load.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.load.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.load.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.clear
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.draw
 +dEQP-VK.renderpass.formats.d32_sfloat.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.d24_unorm_s8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.clear.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.load.clear_draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.clear
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.draw
 +dEQP-VK.renderpass.formats.d32_sfloat_s8_uint.dont_care.clear_draw
 +dEQP-VK.renderpass.attachment.1.0
 +dEQP-VK.renderpass.attachment.1.1
 +dEQP-VK.renderpass.attachment.1.2
 +dEQP-VK.renderpass.attachment.1.3
 +dEQP-VK.renderpass.attachment.1.4
 +dEQP-VK.renderpass.attachment.1.5
 +dEQP-VK.renderpass.attachment.1.6
 +dEQP-VK.renderpass.attachment.1.7
 +dEQP-VK.renderpass.attachment.1.8
 +dEQP-VK.renderpass.attachment.1.9
 +dEQP-VK.renderpass.attachment.1.10
 +dEQP-VK.renderpass.attachment.1.11
 +dEQP-VK.renderpass.attachment.1.12
 +dEQP-VK.renderpass.attachment.1.13
 +dEQP-VK.renderpass.attachment.1.14
 +dEQP-VK.renderpass.attachment.1.15
 +dEQP-VK.renderpass.attachment.1.16
 +dEQP-VK.renderpass.attachment.1.17
 +dEQP-VK.renderpass.attachment.1.18
 +dEQP-VK.renderpass.attachment.1.19
 +dEQP-VK.renderpass.attachment.1.20
 +dEQP-VK.renderpass.attachment.1.21
 +dEQP-VK.renderpass.attachment.1.22
 +dEQP-VK.renderpass.attachment.1.23
 +dEQP-VK.renderpass.attachment.1.24
 +dEQP-VK.renderpass.attachment.1.25
 +dEQP-VK.renderpass.attachment.1.26
 +dEQP-VK.renderpass.attachment.1.27
 +dEQP-VK.renderpass.attachment.1.28
 +dEQP-VK.renderpass.attachment.1.29
 +dEQP-VK.renderpass.attachment.1.30
 +dEQP-VK.renderpass.attachment.1.31
 +dEQP-VK.renderpass.attachment.1.32
 +dEQP-VK.renderpass.attachment.1.33
 +dEQP-VK.renderpass.attachment.1.34
 +dEQP-VK.renderpass.attachment.1.35
 +dEQP-VK.renderpass.attachment.1.36
 +dEQP-VK.renderpass.attachment.1.37
 +dEQP-VK.renderpass.attachment.1.38
 +dEQP-VK.renderpass.attachment.1.39
 +dEQP-VK.renderpass.attachment.1.40
 +dEQP-VK.renderpass.attachment.1.41
 +dEQP-VK.renderpass.attachment.1.42
 +dEQP-VK.renderpass.attachment.1.43
 +dEQP-VK.renderpass.attachment.1.44
 +dEQP-VK.renderpass.attachment.1.45
 +dEQP-VK.renderpass.attachment.1.46
 +dEQP-VK.renderpass.attachment.1.47
 +dEQP-VK.renderpass.attachment.1.48
 +dEQP-VK.renderpass.attachment.1.49
 +dEQP-VK.renderpass.attachment.1.50
 +dEQP-VK.renderpass.attachment.1.51
 +dEQP-VK.renderpass.attachment.1.52
 +dEQP-VK.renderpass.attachment.1.53
 +dEQP-VK.renderpass.attachment.1.54
 +dEQP-VK.renderpass.attachment.1.55
 +dEQP-VK.renderpass.attachment.1.56
 +dEQP-VK.renderpass.attachment.1.57
 +dEQP-VK.renderpass.attachment.1.58
 +dEQP-VK.renderpass.attachment.1.59
 +dEQP-VK.renderpass.attachment.1.60
 +dEQP-VK.renderpass.attachment.1.61
 +dEQP-VK.renderpass.attachment.1.62
 +dEQP-VK.renderpass.attachment.1.63
 +dEQP-VK.renderpass.attachment.1.64
 +dEQP-VK.renderpass.attachment.1.65
 +dEQP-VK.renderpass.attachment.1.66
 +dEQP-VK.renderpass.attachment.1.67
 +dEQP-VK.renderpass.attachment.1.68
 +dEQP-VK.renderpass.attachment.1.69
 +dEQP-VK.renderpass.attachment.1.70
 +dEQP-VK.renderpass.attachment.1.71
 +dEQP-VK.renderpass.attachment.1.72
 +dEQP-VK.renderpass.attachment.1.73
 +dEQP-VK.renderpass.attachment.1.74
 +dEQP-VK.renderpass.attachment.1.75
 +dEQP-VK.renderpass.attachment.1.76
 +dEQP-VK.renderpass.attachment.1.77
 +dEQP-VK.renderpass.attachment.1.78
 +dEQP-VK.renderpass.attachment.1.79
 +dEQP-VK.renderpass.attachment.1.80
 +dEQP-VK.renderpass.attachment.1.81
 +dEQP-VK.renderpass.attachment.1.82
 +dEQP-VK.renderpass.attachment.1.83
 +dEQP-VK.renderpass.attachment.1.84
 +dEQP-VK.renderpass.attachment.1.85
 +dEQP-VK.renderpass.attachment.1.86
 +dEQP-VK.renderpass.attachment.1.87
 +dEQP-VK.renderpass.attachment.1.88
 +dEQP-VK.renderpass.attachment.1.89
 +dEQP-VK.renderpass.attachment.1.90
 +dEQP-VK.renderpass.attachment.1.91
 +dEQP-VK.renderpass.attachment.1.92
 +dEQP-VK.renderpass.attachment.1.93
 +dEQP-VK.renderpass.attachment.1.94
 +dEQP-VK.renderpass.attachment.1.95
 +dEQP-VK.renderpass.attachment.1.96
 +dEQP-VK.renderpass.attachment.1.97
 +dEQP-VK.renderpass.attachment.1.98
 +dEQP-VK.renderpass.attachment.1.99
 +dEQP-VK.renderpass.attachment.3.200
 +dEQP-VK.renderpass.attachment.3.201
 +dEQP-VK.renderpass.attachment.3.202
 +dEQP-VK.renderpass.attachment.3.203
 +dEQP-VK.renderpass.attachment.3.204
 +dEQP-VK.renderpass.attachment.3.205
 +dEQP-VK.renderpass.attachment.3.206
 +dEQP-VK.renderpass.attachment.3.207
 +dEQP-VK.renderpass.attachment.3.208
 +dEQP-VK.renderpass.attachment.3.209
 +dEQP-VK.renderpass.attachment.3.210
 +dEQP-VK.renderpass.attachment.3.211
 +dEQP-VK.renderpass.attachment.3.212
 +dEQP-VK.renderpass.attachment.3.213
 +dEQP-VK.renderpass.attachment.3.214
 +dEQP-VK.renderpass.attachment.3.215
 +dEQP-VK.renderpass.attachment.3.216
 +dEQP-VK.renderpass.attachment.3.217
 +dEQP-VK.renderpass.attachment.3.218
 +dEQP-VK.renderpass.attachment.3.219
 +dEQP-VK.renderpass.attachment.3.220
 +dEQP-VK.renderpass.attachment.3.221
 +dEQP-VK.renderpass.attachment.3.222
 +dEQP-VK.renderpass.attachment.3.223
 +dEQP-VK.renderpass.attachment.3.224
 +dEQP-VK.renderpass.attachment.3.225
 +dEQP-VK.renderpass.attachment.3.226
 +dEQP-VK.renderpass.attachment.3.227
 +dEQP-VK.renderpass.attachment.3.228
 +dEQP-VK.renderpass.attachment.3.229
 +dEQP-VK.renderpass.attachment.3.230
 +dEQP-VK.renderpass.attachment.3.231
 +dEQP-VK.renderpass.attachment.3.232
 +dEQP-VK.renderpass.attachment.3.233
 +dEQP-VK.renderpass.attachment.3.234
 +dEQP-VK.renderpass.attachment.3.235
 +dEQP-VK.renderpass.attachment.3.236
 +dEQP-VK.renderpass.attachment.3.237
 +dEQP-VK.renderpass.attachment.3.238
 +dEQP-VK.renderpass.attachment.3.239
 +dEQP-VK.renderpass.attachment.3.240
 +dEQP-VK.renderpass.attachment.3.241
 +dEQP-VK.renderpass.attachment.3.242
 +dEQP-VK.renderpass.attachment.3.243
 +dEQP-VK.renderpass.attachment.3.244
 +dEQP-VK.renderpass.attachment.3.245
 +dEQP-VK.renderpass.attachment.3.246
 +dEQP-VK.renderpass.attachment.3.247
 +dEQP-VK.renderpass.attachment.3.248
 +dEQP-VK.renderpass.attachment.3.249
 +dEQP-VK.renderpass.attachment.3.250
 +dEQP-VK.renderpass.attachment.3.251
 +dEQP-VK.renderpass.attachment.3.252
 +dEQP-VK.renderpass.attachment.3.253
 +dEQP-VK.renderpass.attachment.3.254
 +dEQP-VK.renderpass.attachment.3.255
 +dEQP-VK.renderpass.attachment.3.256
 +dEQP-VK.renderpass.attachment.3.257
 +dEQP-VK.renderpass.attachment.3.258
 +dEQP-VK.renderpass.attachment.3.259
 +dEQP-VK.renderpass.attachment.3.260
 +dEQP-VK.renderpass.attachment.3.261
 +dEQP-VK.renderpass.attachment.3.262
 +dEQP-VK.renderpass.attachment.3.263
 +dEQP-VK.renderpass.attachment.3.264
 +dEQP-VK.renderpass.attachment.3.265
 +dEQP-VK.renderpass.attachment.3.266
 +dEQP-VK.renderpass.attachment.3.267
 +dEQP-VK.renderpass.attachment.3.268
 +dEQP-VK.renderpass.attachment.3.269
 +dEQP-VK.renderpass.attachment.3.270
 +dEQP-VK.renderpass.attachment.3.271
 +dEQP-VK.renderpass.attachment.3.272
 +dEQP-VK.renderpass.attachment.3.273
 +dEQP-VK.renderpass.attachment.3.274
 +dEQP-VK.renderpass.attachment.3.275
 +dEQP-VK.renderpass.attachment.3.276
 +dEQP-VK.renderpass.attachment.3.277
 +dEQP-VK.renderpass.attachment.3.278
 +dEQP-VK.renderpass.attachment.3.279
 +dEQP-VK.renderpass.attachment.3.280
 +dEQP-VK.renderpass.attachment.3.281
 +dEQP-VK.renderpass.attachment.3.282
 +dEQP-VK.renderpass.attachment.3.283
 +dEQP-VK.renderpass.attachment.3.284
 +dEQP-VK.renderpass.attachment.3.285
 +dEQP-VK.renderpass.attachment.3.286
 +dEQP-VK.renderpass.attachment.3.287
 +dEQP-VK.renderpass.attachment.3.288
 +dEQP-VK.renderpass.attachment.3.289
 +dEQP-VK.renderpass.attachment.3.290
 +dEQP-VK.renderpass.attachment.3.291
 +dEQP-VK.renderpass.attachment.3.292
 +dEQP-VK.renderpass.attachment.3.293
 +dEQP-VK.renderpass.attachment.3.294
 +dEQP-VK.renderpass.attachment.3.295
 +dEQP-VK.renderpass.attachment.3.296
 +dEQP-VK.renderpass.attachment.3.297
 +dEQP-VK.renderpass.attachment.3.298
 +dEQP-VK.renderpass.attachment.3.299
 +dEQP-VK.renderpass.attachment.3.300
 +dEQP-VK.renderpass.attachment.3.301
 +dEQP-VK.renderpass.attachment.3.302
 +dEQP-VK.renderpass.attachment.3.303
 +dEQP-VK.renderpass.attachment.3.304
 +dEQP-VK.renderpass.attachment.3.305
 +dEQP-VK.renderpass.attachment.3.306
 +dEQP-VK.renderpass.attachment.3.307
 +dEQP-VK.renderpass.attachment.3.308
 +dEQP-VK.renderpass.attachment.3.309
 +dEQP-VK.renderpass.attachment.3.310
 +dEQP-VK.renderpass.attachment.3.311
 +dEQP-VK.renderpass.attachment.3.312
 +dEQP-VK.renderpass.attachment.3.313
 +dEQP-VK.renderpass.attachment.3.314
 +dEQP-VK.renderpass.attachment.3.315
 +dEQP-VK.renderpass.attachment.3.316
 +dEQP-VK.renderpass.attachment.3.317
 +dEQP-VK.renderpass.attachment.3.318
 +dEQP-VK.renderpass.attachment.3.319
 +dEQP-VK.renderpass.attachment.3.320
 +dEQP-VK.renderpass.attachment.3.321
 +dEQP-VK.renderpass.attachment.3.322
 +dEQP-VK.renderpass.attachment.3.323
 +dEQP-VK.renderpass.attachment.3.324
 +dEQP-VK.renderpass.attachment.3.325
 +dEQP-VK.renderpass.attachment.3.326
 +dEQP-VK.renderpass.attachment.3.327
 +dEQP-VK.renderpass.attachment.3.328
 +dEQP-VK.renderpass.attachment.3.329
 +dEQP-VK.renderpass.attachment.3.330
 +dEQP-VK.renderpass.attachment.3.331
 +dEQP-VK.renderpass.attachment.3.332
 +dEQP-VK.renderpass.attachment.3.333
 +dEQP-VK.renderpass.attachment.3.334
 +dEQP-VK.renderpass.attachment.3.335
 +dEQP-VK.renderpass.attachment.3.336
 +dEQP-VK.renderpass.attachment.3.337
 +dEQP-VK.renderpass.attachment.3.338
 +dEQP-VK.renderpass.attachment.3.339
 +dEQP-VK.renderpass.attachment.3.340
 +dEQP-VK.renderpass.attachment.3.341
 +dEQP-VK.renderpass.attachment.3.342
 +dEQP-VK.renderpass.attachment.3.343
 +dEQP-VK.renderpass.attachment.3.344
 +dEQP-VK.renderpass.attachment.3.345
 +dEQP-VK.renderpass.attachment.3.346
 +dEQP-VK.renderpass.attachment.3.347
 +dEQP-VK.renderpass.attachment.3.348
 +dEQP-VK.renderpass.attachment.3.349
 +dEQP-VK.renderpass.attachment.3.350
 +dEQP-VK.renderpass.attachment.3.351
 +dEQP-VK.renderpass.attachment.3.352
 +dEQP-VK.renderpass.attachment.3.353
 +dEQP-VK.renderpass.attachment.3.354
 +dEQP-VK.renderpass.attachment.3.355
 +dEQP-VK.renderpass.attachment.3.356
 +dEQP-VK.renderpass.attachment.3.357
 +dEQP-VK.renderpass.attachment.3.358
 +dEQP-VK.renderpass.attachment.3.359
 +dEQP-VK.renderpass.attachment.3.360
 +dEQP-VK.renderpass.attachment.3.361
 +dEQP-VK.renderpass.attachment.3.362
 +dEQP-VK.renderpass.attachment.3.363
 +dEQP-VK.renderpass.attachment.3.364
 +dEQP-VK.renderpass.attachment.3.365
 +dEQP-VK.renderpass.attachment.3.366
 +dEQP-VK.renderpass.attachment.3.367
 +dEQP-VK.renderpass.attachment.3.368
 +dEQP-VK.renderpass.attachment.3.369
 +dEQP-VK.renderpass.attachment.3.370
 +dEQP-VK.renderpass.attachment.3.371
 +dEQP-VK.renderpass.attachment.3.372
 +dEQP-VK.renderpass.attachment.3.373
 +dEQP-VK.renderpass.attachment.3.374
 +dEQP-VK.renderpass.attachment.3.375
 +dEQP-VK.renderpass.attachment.3.376
 +dEQP-VK.renderpass.attachment.3.377
 +dEQP-VK.renderpass.attachment.3.378
 +dEQP-VK.renderpass.attachment.3.379
 +dEQP-VK.renderpass.attachment.3.380
 +dEQP-VK.renderpass.attachment.3.381
 +dEQP-VK.renderpass.attachment.3.382
 +dEQP-VK.renderpass.attachment.3.383
 +dEQP-VK.renderpass.attachment.3.384
 +dEQP-VK.renderpass.attachment.3.385
 +dEQP-VK.renderpass.attachment.3.386
 +dEQP-VK.renderpass.attachment.3.387
 +dEQP-VK.renderpass.attachment.3.388
 +dEQP-VK.renderpass.attachment.3.389
 +dEQP-VK.renderpass.attachment.3.390
 +dEQP-VK.renderpass.attachment.3.391
 +dEQP-VK.renderpass.attachment.3.392
 +dEQP-VK.renderpass.attachment.3.393
 +dEQP-VK.renderpass.attachment.3.394
 +dEQP-VK.renderpass.attachment.3.395
 +dEQP-VK.renderpass.attachment.3.396
 +dEQP-VK.renderpass.attachment.3.397
 +dEQP-VK.renderpass.attachment.3.398
 +dEQP-VK.renderpass.attachment.3.399
 +dEQP-VK.renderpass.attachment.4.400
 +dEQP-VK.renderpass.attachment.4.401
 +dEQP-VK.renderpass.attachment.4.402
 +dEQP-VK.renderpass.attachment.4.403
 +dEQP-VK.renderpass.attachment.4.404
 +dEQP-VK.renderpass.attachment.4.405
 +dEQP-VK.renderpass.attachment.4.406
 +dEQP-VK.renderpass.attachment.4.407
 +dEQP-VK.renderpass.attachment.4.408
 +dEQP-VK.renderpass.attachment.4.409
 +dEQP-VK.renderpass.attachment.4.410
 +dEQP-VK.renderpass.attachment.4.411
 +dEQP-VK.renderpass.attachment.4.412
 +dEQP-VK.renderpass.attachment.4.413
 +dEQP-VK.renderpass.attachment.4.414
 +dEQP-VK.renderpass.attachment.4.415
 +dEQP-VK.renderpass.attachment.4.416
 +dEQP-VK.renderpass.attachment.4.417
 +dEQP-VK.renderpass.attachment.4.418
 +dEQP-VK.renderpass.attachment.4.419
 +dEQP-VK.renderpass.attachment.4.420
 +dEQP-VK.renderpass.attachment.4.421
 +dEQP-VK.renderpass.attachment.4.422
 +dEQP-VK.renderpass.attachment.4.423
 +dEQP-VK.renderpass.attachment.4.424
 +dEQP-VK.renderpass.attachment.4.425
 +dEQP-VK.renderpass.attachment.4.426
 +dEQP-VK.renderpass.attachment.4.427
 +dEQP-VK.renderpass.attachment.4.428
 +dEQP-VK.renderpass.attachment.4.429
 +dEQP-VK.renderpass.attachment.4.430
 +dEQP-VK.renderpass.attachment.4.431
 +dEQP-VK.renderpass.attachment.4.432
 +dEQP-VK.renderpass.attachment.4.433
 +dEQP-VK.renderpass.attachment.4.434
 +dEQP-VK.renderpass.attachment.4.435
 +dEQP-VK.renderpass.attachment.4.436
 +dEQP-VK.renderpass.attachment.4.437
 +dEQP-VK.renderpass.attachment.4.438
 +dEQP-VK.renderpass.attachment.4.439
 +dEQP-VK.renderpass.attachment.4.440
 +dEQP-VK.renderpass.attachment.4.441
 +dEQP-VK.renderpass.attachment.4.442
 +dEQP-VK.renderpass.attachment.4.443
 +dEQP-VK.renderpass.attachment.4.444
 +dEQP-VK.renderpass.attachment.4.445
 +dEQP-VK.renderpass.attachment.4.446
 +dEQP-VK.renderpass.attachment.4.447
 +dEQP-VK.renderpass.attachment.4.448
 +dEQP-VK.renderpass.attachment.4.449
 +dEQP-VK.renderpass.attachment.4.450
 +dEQP-VK.renderpass.attachment.4.451
 +dEQP-VK.renderpass.attachment.4.452
 +dEQP-VK.renderpass.attachment.4.453
 +dEQP-VK.renderpass.attachment.4.454
 +dEQP-VK.renderpass.attachment.4.455
 +dEQP-VK.renderpass.attachment.4.456
 +dEQP-VK.renderpass.attachment.4.457
 +dEQP-VK.renderpass.attachment.4.458
 +dEQP-VK.renderpass.attachment.4.459
 +dEQP-VK.renderpass.attachment.4.460
 +dEQP-VK.renderpass.attachment.4.461
 +dEQP-VK.renderpass.attachment.4.462
 +dEQP-VK.renderpass.attachment.4.463
 +dEQP-VK.renderpass.attachment.4.464
 +dEQP-VK.renderpass.attachment.4.465
 +dEQP-VK.renderpass.attachment.4.466
 +dEQP-VK.renderpass.attachment.4.467
 +dEQP-VK.renderpass.attachment.4.468
 +dEQP-VK.renderpass.attachment.4.469
 +dEQP-VK.renderpass.attachment.4.470
 +dEQP-VK.renderpass.attachment.4.471
 +dEQP-VK.renderpass.attachment.4.472
 +dEQP-VK.renderpass.attachment.4.473
 +dEQP-VK.renderpass.attachment.4.474
 +dEQP-VK.renderpass.attachment.4.475
 +dEQP-VK.renderpass.attachment.4.476
 +dEQP-VK.renderpass.attachment.4.477
 +dEQP-VK.renderpass.attachment.4.478
 +dEQP-VK.renderpass.attachment.4.479
 +dEQP-VK.renderpass.attachment.4.480
 +dEQP-VK.renderpass.attachment.4.481
 +dEQP-VK.renderpass.attachment.4.482
 +dEQP-VK.renderpass.attachment.4.483
 +dEQP-VK.renderpass.attachment.4.484
 +dEQP-VK.renderpass.attachment.4.485
 +dEQP-VK.renderpass.attachment.4.486
 +dEQP-VK.renderpass.attachment.4.487
 +dEQP-VK.renderpass.attachment.4.488
 +dEQP-VK.renderpass.attachment.4.489
 +dEQP-VK.renderpass.attachment.4.490
 +dEQP-VK.renderpass.attachment.4.491
 +dEQP-VK.renderpass.attachment.4.492
 +dEQP-VK.renderpass.attachment.4.493
 +dEQP-VK.renderpass.attachment.4.494
 +dEQP-VK.renderpass.attachment.4.495
 +dEQP-VK.renderpass.attachment.4.496
 +dEQP-VK.renderpass.attachment.4.497
 +dEQP-VK.renderpass.attachment.4.498
 +dEQP-VK.renderpass.attachment.4.499
 +dEQP-VK.renderpass.attachment.4.500
 +dEQP-VK.renderpass.attachment.4.501
 +dEQP-VK.renderpass.attachment.4.502
 +dEQP-VK.renderpass.attachment.4.503
 +dEQP-VK.renderpass.attachment.4.504
 +dEQP-VK.renderpass.attachment.4.505
 +dEQP-VK.renderpass.attachment.4.506
 +dEQP-VK.renderpass.attachment.4.507
 +dEQP-VK.renderpass.attachment.4.508
 +dEQP-VK.renderpass.attachment.4.509
 +dEQP-VK.renderpass.attachment.4.510
 +dEQP-VK.renderpass.attachment.4.511
 +dEQP-VK.renderpass.attachment.4.512
 +dEQP-VK.renderpass.attachment.4.513
 +dEQP-VK.renderpass.attachment.4.514
 +dEQP-VK.renderpass.attachment.4.515
 +dEQP-VK.renderpass.attachment.4.516
 +dEQP-VK.renderpass.attachment.4.517
 +dEQP-VK.renderpass.attachment.4.518
 +dEQP-VK.renderpass.attachment.4.519
 +dEQP-VK.renderpass.attachment.4.520
 +dEQP-VK.renderpass.attachment.4.521
 +dEQP-VK.renderpass.attachment.4.522
 +dEQP-VK.renderpass.attachment.4.523
 +dEQP-VK.renderpass.attachment.4.524
 +dEQP-VK.renderpass.attachment.4.525
 +dEQP-VK.renderpass.attachment.4.526
 +dEQP-VK.renderpass.attachment.4.527
 +dEQP-VK.renderpass.attachment.4.528
 +dEQP-VK.renderpass.attachment.4.529
 +dEQP-VK.renderpass.attachment.4.530
 +dEQP-VK.renderpass.attachment.4.531
 +dEQP-VK.renderpass.attachment.4.532
 +dEQP-VK.renderpass.attachment.4.533
 +dEQP-VK.renderpass.attachment.4.534
 +dEQP-VK.renderpass.attachment.4.535
 +dEQP-VK.renderpass.attachment.4.536
 +dEQP-VK.renderpass.attachment.4.537
 +dEQP-VK.renderpass.attachment.4.538
 +dEQP-VK.renderpass.attachment.4.539
 +dEQP-VK.renderpass.attachment.4.540
 +dEQP-VK.renderpass.attachment.4.541
 +dEQP-VK.renderpass.attachment.4.542
 +dEQP-VK.renderpass.attachment.4.543
 +dEQP-VK.renderpass.attachment.4.544
 +dEQP-VK.renderpass.attachment.4.545
 +dEQP-VK.renderpass.attachment.4.546
 +dEQP-VK.renderpass.attachment.4.547
 +dEQP-VK.renderpass.attachment.4.548
 +dEQP-VK.renderpass.attachment.4.549
 +dEQP-VK.renderpass.attachment.4.550
 +dEQP-VK.renderpass.attachment.4.551
 +dEQP-VK.renderpass.attachment.4.552
 +dEQP-VK.renderpass.attachment.4.553
 +dEQP-VK.renderpass.attachment.4.554
 +dEQP-VK.renderpass.attachment.4.555
 +dEQP-VK.renderpass.attachment.4.556
 +dEQP-VK.renderpass.attachment.4.557
 +dEQP-VK.renderpass.attachment.4.558
 +dEQP-VK.renderpass.attachment.4.559
 +dEQP-VK.renderpass.attachment.4.560
 +dEQP-VK.renderpass.attachment.4.561
 +dEQP-VK.renderpass.attachment.4.562
 +dEQP-VK.renderpass.attachment.4.563
 +dEQP-VK.renderpass.attachment.4.564
 +dEQP-VK.renderpass.attachment.4.565
 +dEQP-VK.renderpass.attachment.4.566
 +dEQP-VK.renderpass.attachment.4.567
 +dEQP-VK.renderpass.attachment.4.568
 +dEQP-VK.renderpass.attachment.4.569
 +dEQP-VK.renderpass.attachment.4.570
 +dEQP-VK.renderpass.attachment.4.571
 +dEQP-VK.renderpass.attachment.4.572
 +dEQP-VK.renderpass.attachment.4.573
 +dEQP-VK.renderpass.attachment.4.574
 +dEQP-VK.renderpass.attachment.4.575
 +dEQP-VK.renderpass.attachment.4.576
 +dEQP-VK.renderpass.attachment.4.577
 +dEQP-VK.renderpass.attachment.4.578
 +dEQP-VK.renderpass.attachment.4.579
 +dEQP-VK.renderpass.attachment.4.580
 +dEQP-VK.renderpass.attachment.4.581
 +dEQP-VK.renderpass.attachment.4.582
 +dEQP-VK.renderpass.attachment.4.583
 +dEQP-VK.renderpass.attachment.4.584
 +dEQP-VK.renderpass.attachment.4.585
 +dEQP-VK.renderpass.attachment.4.586
 +dEQP-VK.renderpass.attachment.4.587
 +dEQP-VK.renderpass.attachment.4.588
 +dEQP-VK.renderpass.attachment.4.589
 +dEQP-VK.renderpass.attachment.4.590
 +dEQP-VK.renderpass.attachment.4.591
 +dEQP-VK.renderpass.attachment.4.592
 +dEQP-VK.renderpass.attachment.4.593
 +dEQP-VK.renderpass.attachment.4.594
 +dEQP-VK.renderpass.attachment.4.595
 +dEQP-VK.renderpass.attachment.4.596
 +dEQP-VK.renderpass.attachment.4.597
 +dEQP-VK.renderpass.attachment.4.598
 +dEQP-VK.renderpass.attachment.4.599
 +dEQP-VK.renderpass.attachment.8.600
 +dEQP-VK.renderpass.attachment.8.601
 +dEQP-VK.renderpass.attachment.8.602
 +dEQP-VK.renderpass.attachment.8.603
 +dEQP-VK.renderpass.attachment.8.604
 +dEQP-VK.renderpass.attachment.8.605
 +dEQP-VK.renderpass.attachment.8.606
 +dEQP-VK.renderpass.attachment.8.607
 +dEQP-VK.renderpass.attachment.8.608
 +dEQP-VK.renderpass.attachment.8.609
 +dEQP-VK.renderpass.attachment.8.610
 +dEQP-VK.renderpass.attachment.8.611
 +dEQP-VK.renderpass.attachment.8.612
 +dEQP-VK.renderpass.attachment.8.613
 +dEQP-VK.renderpass.attachment.8.614
 +dEQP-VK.renderpass.attachment.8.615
 +dEQP-VK.renderpass.attachment.8.616
 +dEQP-VK.renderpass.attachment.8.617
 +dEQP-VK.renderpass.attachment.8.618
 +dEQP-VK.renderpass.attachment.8.619
 +dEQP-VK.renderpass.attachment.8.620
 +dEQP-VK.renderpass.attachment.8.621
 +dEQP-VK.renderpass.attachment.8.622
 +dEQP-VK.renderpass.attachment.8.623
 +dEQP-VK.renderpass.attachment.8.624
 +dEQP-VK.renderpass.attachment.8.625
 +dEQP-VK.renderpass.attachment.8.626
 +dEQP-VK.renderpass.attachment.8.627
 +dEQP-VK.renderpass.attachment.8.628
 +dEQP-VK.renderpass.attachment.8.629
 +dEQP-VK.renderpass.attachment.8.630
 +dEQP-VK.renderpass.attachment.8.631
 +dEQP-VK.renderpass.attachment.8.632
 +dEQP-VK.renderpass.attachment.8.633
 +dEQP-VK.renderpass.attachment.8.634
 +dEQP-VK.renderpass.attachment.8.635
 +dEQP-VK.renderpass.attachment.8.636
 +dEQP-VK.renderpass.attachment.8.637
 +dEQP-VK.renderpass.attachment.8.638
 +dEQP-VK.renderpass.attachment.8.639
 +dEQP-VK.renderpass.attachment.8.640
 +dEQP-VK.renderpass.attachment.8.641
 +dEQP-VK.renderpass.attachment.8.642
 +dEQP-VK.renderpass.attachment.8.643
 +dEQP-VK.renderpass.attachment.8.644
 +dEQP-VK.renderpass.attachment.8.645
 +dEQP-VK.renderpass.attachment.8.646
 +dEQP-VK.renderpass.attachment.8.647
 +dEQP-VK.renderpass.attachment.8.648
 +dEQP-VK.renderpass.attachment.8.649
 +dEQP-VK.renderpass.attachment.8.650
 +dEQP-VK.renderpass.attachment.8.651
 +dEQP-VK.renderpass.attachment.8.652
 +dEQP-VK.renderpass.attachment.8.653
 +dEQP-VK.renderpass.attachment.8.654
 +dEQP-VK.renderpass.attachment.8.655
 +dEQP-VK.renderpass.attachment.8.656
 +dEQP-VK.renderpass.attachment.8.657
 +dEQP-VK.renderpass.attachment.8.658
 +dEQP-VK.renderpass.attachment.8.659
 +dEQP-VK.renderpass.attachment.8.660
 +dEQP-VK.renderpass.attachment.8.661
 +dEQP-VK.renderpass.attachment.8.662
 +dEQP-VK.renderpass.attachment.8.663
 +dEQP-VK.renderpass.attachment.8.664
 +dEQP-VK.renderpass.attachment.8.665
 +dEQP-VK.renderpass.attachment.8.666
 +dEQP-VK.renderpass.attachment.8.667
 +dEQP-VK.renderpass.attachment.8.668
 +dEQP-VK.renderpass.attachment.8.669
 +dEQP-VK.renderpass.attachment.8.670
 +dEQP-VK.renderpass.attachment.8.671
 +dEQP-VK.renderpass.attachment.8.672
 +dEQP-VK.renderpass.attachment.8.673
 +dEQP-VK.renderpass.attachment.8.674
 +dEQP-VK.renderpass.attachment.8.675
 +dEQP-VK.renderpass.attachment.8.676
 +dEQP-VK.renderpass.attachment.8.677
 +dEQP-VK.renderpass.attachment.8.678
 +dEQP-VK.renderpass.attachment.8.679
 +dEQP-VK.renderpass.attachment.8.680
 +dEQP-VK.renderpass.attachment.8.681
 +dEQP-VK.renderpass.attachment.8.682
 +dEQP-VK.renderpass.attachment.8.683
 +dEQP-VK.renderpass.attachment.8.684
 +dEQP-VK.renderpass.attachment.8.685
 +dEQP-VK.renderpass.attachment.8.686
 +dEQP-VK.renderpass.attachment.8.687
 +dEQP-VK.renderpass.attachment.8.688
 +dEQP-VK.renderpass.attachment.8.689
 +dEQP-VK.renderpass.attachment.8.690
 +dEQP-VK.renderpass.attachment.8.691
 +dEQP-VK.renderpass.attachment.8.692
 +dEQP-VK.renderpass.attachment.8.693
 +dEQP-VK.renderpass.attachment.8.694
 +dEQP-VK.renderpass.attachment.8.695
 +dEQP-VK.renderpass.attachment.8.696
 +dEQP-VK.renderpass.attachment.8.697
 +dEQP-VK.renderpass.attachment.8.698
 +dEQP-VK.renderpass.attachment.8.699
 +dEQP-VK.renderpass.attachment.8.700
 +dEQP-VK.renderpass.attachment.8.701
 +dEQP-VK.renderpass.attachment.8.702
 +dEQP-VK.renderpass.attachment.8.703
 +dEQP-VK.renderpass.attachment.8.704
 +dEQP-VK.renderpass.attachment.8.705
 +dEQP-VK.renderpass.attachment.8.706
 +dEQP-VK.renderpass.attachment.8.707
 +dEQP-VK.renderpass.attachment.8.708
 +dEQP-VK.renderpass.attachment.8.709
 +dEQP-VK.renderpass.attachment.8.710
 +dEQP-VK.renderpass.attachment.8.711
 +dEQP-VK.renderpass.attachment.8.712
 +dEQP-VK.renderpass.attachment.8.713
 +dEQP-VK.renderpass.attachment.8.714
 +dEQP-VK.renderpass.attachment.8.715
 +dEQP-VK.renderpass.attachment.8.716
 +dEQP-VK.renderpass.attachment.8.717
 +dEQP-VK.renderpass.attachment.8.718
 +dEQP-VK.renderpass.attachment.8.719
 +dEQP-VK.renderpass.attachment.8.720
 +dEQP-VK.renderpass.attachment.8.721
 +dEQP-VK.renderpass.attachment.8.722
 +dEQP-VK.renderpass.attachment.8.723
 +dEQP-VK.renderpass.attachment.8.724
 +dEQP-VK.renderpass.attachment.8.725
 +dEQP-VK.renderpass.attachment.8.726
 +dEQP-VK.renderpass.attachment.8.727
 +dEQP-VK.renderpass.attachment.8.728
 +dEQP-VK.renderpass.attachment.8.729
 +dEQP-VK.renderpass.attachment.8.730
 +dEQP-VK.renderpass.attachment.8.731
 +dEQP-VK.renderpass.attachment.8.732
 +dEQP-VK.renderpass.attachment.8.733
 +dEQP-VK.renderpass.attachment.8.734
 +dEQP-VK.renderpass.attachment.8.735
 +dEQP-VK.renderpass.attachment.8.736
 +dEQP-VK.renderpass.attachment.8.737
 +dEQP-VK.renderpass.attachment.8.738
 +dEQP-VK.renderpass.attachment.8.739
 +dEQP-VK.renderpass.attachment.8.740
 +dEQP-VK.renderpass.attachment.8.741
 +dEQP-VK.renderpass.attachment.8.742
 +dEQP-VK.renderpass.attachment.8.743
 +dEQP-VK.renderpass.attachment.8.744
 +dEQP-VK.renderpass.attachment.8.745
 +dEQP-VK.renderpass.attachment.8.746
 +dEQP-VK.renderpass.attachment.8.747
 +dEQP-VK.renderpass.attachment.8.748
 +dEQP-VK.renderpass.attachment.8.749
 +dEQP-VK.renderpass.attachment.8.750
 +dEQP-VK.renderpass.attachment.8.751
 +dEQP-VK.renderpass.attachment.8.752
 +dEQP-VK.renderpass.attachment.8.753
 +dEQP-VK.renderpass.attachment.8.754
 +dEQP-VK.renderpass.attachment.8.755
 +dEQP-VK.renderpass.attachment.8.756
 +dEQP-VK.renderpass.attachment.8.757
 +dEQP-VK.renderpass.attachment.8.758
 +dEQP-VK.renderpass.attachment.8.759
 +dEQP-VK.renderpass.attachment.8.760
 +dEQP-VK.renderpass.attachment.8.761
 +dEQP-VK.renderpass.attachment.8.762
 +dEQP-VK.renderpass.attachment.8.763
 +dEQP-VK.renderpass.attachment.8.764
 +dEQP-VK.renderpass.attachment.8.765
 +dEQP-VK.renderpass.attachment.8.766
 +dEQP-VK.renderpass.attachment.8.767
 +dEQP-VK.renderpass.attachment.8.768
 +dEQP-VK.renderpass.attachment.8.769
 +dEQP-VK.renderpass.attachment.8.770
 +dEQP-VK.renderpass.attachment.8.771
 +dEQP-VK.renderpass.attachment.8.772
 +dEQP-VK.renderpass.attachment.8.773
 +dEQP-VK.renderpass.attachment.8.774
 +dEQP-VK.renderpass.attachment.8.775
 +dEQP-VK.renderpass.attachment.8.776
 +dEQP-VK.renderpass.attachment.8.777
 +dEQP-VK.renderpass.attachment.8.778
 +dEQP-VK.renderpass.attachment.8.779
 +dEQP-VK.renderpass.attachment.8.780
 +dEQP-VK.renderpass.attachment.8.781
 +dEQP-VK.renderpass.attachment.8.782
 +dEQP-VK.renderpass.attachment.8.783
 +dEQP-VK.renderpass.attachment.8.784
 +dEQP-VK.renderpass.attachment.8.785
 +dEQP-VK.renderpass.attachment.8.786
 +dEQP-VK.renderpass.attachment.8.787
 +dEQP-VK.renderpass.attachment.8.788
 +dEQP-VK.renderpass.attachment.8.789
 +dEQP-VK.renderpass.attachment.8.790
 +dEQP-VK.renderpass.attachment.8.791
 +dEQP-VK.renderpass.attachment.8.792
 +dEQP-VK.renderpass.attachment.8.793
 +dEQP-VK.renderpass.attachment.8.794
 +dEQP-VK.renderpass.attachment.8.795
 +dEQP-VK.renderpass.attachment.8.796
 +dEQP-VK.renderpass.attachment.8.797
 +dEQP-VK.renderpass.attachment.8.798
 +dEQP-VK.renderpass.attachment.8.799
 +dEQP-VK.renderpass.attachment_allocation.grow.0
 +dEQP-VK.renderpass.attachment_allocation.grow.1
 +dEQP-VK.renderpass.attachment_allocation.grow.2
 +dEQP-VK.renderpass.attachment_allocation.grow.3
 +dEQP-VK.renderpass.attachment_allocation.grow.4
 +dEQP-VK.renderpass.attachment_allocation.grow.5
 +dEQP-VK.renderpass.attachment_allocation.grow.6
 +dEQP-VK.renderpass.attachment_allocation.grow.7
 +dEQP-VK.renderpass.attachment_allocation.grow.8
 +dEQP-VK.renderpass.attachment_allocation.grow.9
 +dEQP-VK.renderpass.attachment_allocation.grow.10
 +dEQP-VK.renderpass.attachment_allocation.grow.11
 +dEQP-VK.renderpass.attachment_allocation.grow.12
 +dEQP-VK.renderpass.attachment_allocation.grow.13
 +dEQP-VK.renderpass.attachment_allocation.grow.14
 +dEQP-VK.renderpass.attachment_allocation.grow.15
 +dEQP-VK.renderpass.attachment_allocation.grow.16
 +dEQP-VK.renderpass.attachment_allocation.grow.17
 +dEQP-VK.renderpass.attachment_allocation.grow.18
 +dEQP-VK.renderpass.attachment_allocation.grow.19
 +dEQP-VK.renderpass.attachment_allocation.grow.20
 +dEQP-VK.renderpass.attachment_allocation.grow.21
 +dEQP-VK.renderpass.attachment_allocation.grow.22
 +dEQP-VK.renderpass.attachment_allocation.grow.23
 +dEQP-VK.renderpass.attachment_allocation.grow.24
 +dEQP-VK.renderpass.attachment_allocation.grow.25
 +dEQP-VK.renderpass.attachment_allocation.grow.26
 +dEQP-VK.renderpass.attachment_allocation.grow.27
 +dEQP-VK.renderpass.attachment_allocation.grow.28
 +dEQP-VK.renderpass.attachment_allocation.grow.29
 +dEQP-VK.renderpass.attachment_allocation.grow.30
 +dEQP-VK.renderpass.attachment_allocation.grow.31
 +dEQP-VK.renderpass.attachment_allocation.grow.32
 +dEQP-VK.renderpass.attachment_allocation.grow.33
 +dEQP-VK.renderpass.attachment_allocation.grow.34
 +dEQP-VK.renderpass.attachment_allocation.grow.35
 +dEQP-VK.renderpass.attachment_allocation.grow.36
 +dEQP-VK.renderpass.attachment_allocation.grow.37
 +dEQP-VK.renderpass.attachment_allocation.grow.38
 +dEQP-VK.renderpass.attachment_allocation.grow.39
 +dEQP-VK.renderpass.attachment_allocation.grow.40
 +dEQP-VK.renderpass.attachment_allocation.grow.41
 +dEQP-VK.renderpass.attachment_allocation.grow.42
 +dEQP-VK.renderpass.attachment_allocation.grow.43
 +dEQP-VK.renderpass.attachment_allocation.grow.44
 +dEQP-VK.renderpass.attachment_allocation.grow.45
 +dEQP-VK.renderpass.attachment_allocation.grow.46
 +dEQP-VK.renderpass.attachment_allocation.grow.47
 +dEQP-VK.renderpass.attachment_allocation.grow.48
 +dEQP-VK.renderpass.attachment_allocation.grow.49
 +dEQP-VK.renderpass.attachment_allocation.grow.50
 +dEQP-VK.renderpass.attachment_allocation.grow.51
 +dEQP-VK.renderpass.attachment_allocation.grow.52
 +dEQP-VK.renderpass.attachment_allocation.grow.53
 +dEQP-VK.renderpass.attachment_allocation.grow.54
 +dEQP-VK.renderpass.attachment_allocation.grow.55
 +dEQP-VK.renderpass.attachment_allocation.grow.56
 +dEQP-VK.renderpass.attachment_allocation.grow.57
 +dEQP-VK.renderpass.attachment_allocation.grow.58
 +dEQP-VK.renderpass.attachment_allocation.grow.59
 +dEQP-VK.renderpass.attachment_allocation.grow.60
 +dEQP-VK.renderpass.attachment_allocation.grow.61
 +dEQP-VK.renderpass.attachment_allocation.grow.62
 +dEQP-VK.renderpass.attachment_allocation.grow.63
 +dEQP-VK.renderpass.attachment_allocation.grow.64
 +dEQP-VK.renderpass.attachment_allocation.grow.65
 +dEQP-VK.renderpass.attachment_allocation.grow.66
 +dEQP-VK.renderpass.attachment_allocation.grow.67
 +dEQP-VK.renderpass.attachment_allocation.grow.68
 +dEQP-VK.renderpass.attachment_allocation.grow.69
 +dEQP-VK.renderpass.attachment_allocation.grow.70
 +dEQP-VK.renderpass.attachment_allocation.grow.71
 +dEQP-VK.renderpass.attachment_allocation.grow.72
 +dEQP-VK.renderpass.attachment_allocation.grow.73
 +dEQP-VK.renderpass.attachment_allocation.grow.74
 +dEQP-VK.renderpass.attachment_allocation.grow.75
 +dEQP-VK.renderpass.attachment_allocation.grow.76
 +dEQP-VK.renderpass.attachment_allocation.grow.77
 +dEQP-VK.renderpass.attachment_allocation.grow.78
 +dEQP-VK.renderpass.attachment_allocation.grow.79
 +dEQP-VK.renderpass.attachment_allocation.grow.80
 +dEQP-VK.renderpass.attachment_allocation.grow.81
 +dEQP-VK.renderpass.attachment_allocation.grow.82
 +dEQP-VK.renderpass.attachment_allocation.grow.83
 +dEQP-VK.renderpass.attachment_allocation.grow.84
 +dEQP-VK.renderpass.attachment_allocation.grow.85
 +dEQP-VK.renderpass.attachment_allocation.grow.86
 +dEQP-VK.renderpass.attachment_allocation.grow.87
 +dEQP-VK.renderpass.attachment_allocation.grow.88
 +dEQP-VK.renderpass.attachment_allocation.grow.89
 +dEQP-VK.renderpass.attachment_allocation.grow.90
 +dEQP-VK.renderpass.attachment_allocation.grow.91
 +dEQP-VK.renderpass.attachment_allocation.grow.92
 +dEQP-VK.renderpass.attachment_allocation.grow.93
 +dEQP-VK.renderpass.attachment_allocation.grow.94
 +dEQP-VK.renderpass.attachment_allocation.grow.95
 +dEQP-VK.renderpass.attachment_allocation.grow.96
 +dEQP-VK.renderpass.attachment_allocation.grow.97
 +dEQP-VK.renderpass.attachment_allocation.grow.98
 +dEQP-VK.renderpass.attachment_allocation.grow.99
 +dEQP-VK.renderpass.attachment_allocation.shrink.0
 +dEQP-VK.renderpass.attachment_allocation.shrink.1
 +dEQP-VK.renderpass.attachment_allocation.shrink.2
 +dEQP-VK.renderpass.attachment_allocation.shrink.3
 +dEQP-VK.renderpass.attachment_allocation.shrink.4
 +dEQP-VK.renderpass.attachment_allocation.shrink.5
 +dEQP-VK.renderpass.attachment_allocation.shrink.6
 +dEQP-VK.renderpass.attachment_allocation.shrink.7
 +dEQP-VK.renderpass.attachment_allocation.shrink.8
 +dEQP-VK.renderpass.attachment_allocation.shrink.9
 +dEQP-VK.renderpass.attachment_allocation.shrink.10
 +dEQP-VK.renderpass.attachment_allocation.shrink.11
 +dEQP-VK.renderpass.attachment_allocation.shrink.12
 +dEQP-VK.renderpass.attachment_allocation.shrink.13
 +dEQP-VK.renderpass.attachment_allocation.shrink.14
 +dEQP-VK.renderpass.attachment_allocation.shrink.15
 +dEQP-VK.renderpass.attachment_allocation.shrink.16
 +dEQP-VK.renderpass.attachment_allocation.shrink.17
 +dEQP-VK.renderpass.attachment_allocation.shrink.18
 +dEQP-VK.renderpass.attachment_allocation.shrink.19
 +dEQP-VK.renderpass.attachment_allocation.shrink.20
 +dEQP-VK.renderpass.attachment_allocation.shrink.21
 +dEQP-VK.renderpass.attachment_allocation.shrink.22
 +dEQP-VK.renderpass.attachment_allocation.shrink.23
 +dEQP-VK.renderpass.attachment_allocation.shrink.24
 +dEQP-VK.renderpass.attachment_allocation.shrink.25
 +dEQP-VK.renderpass.attachment_allocation.shrink.26
 +dEQP-VK.renderpass.attachment_allocation.shrink.27
 +dEQP-VK.renderpass.attachment_allocation.shrink.28
 +dEQP-VK.renderpass.attachment_allocation.shrink.29
 +dEQP-VK.renderpass.attachment_allocation.shrink.30
 +dEQP-VK.renderpass.attachment_allocation.shrink.31
 +dEQP-VK.renderpass.attachment_allocation.shrink.32
 +dEQP-VK.renderpass.attachment_allocation.shrink.33
 +dEQP-VK.renderpass.attachment_allocation.shrink.34
 +dEQP-VK.renderpass.attachment_allocation.shrink.35
 +dEQP-VK.renderpass.attachment_allocation.shrink.36
 +dEQP-VK.renderpass.attachment_allocation.shrink.37
 +dEQP-VK.renderpass.attachment_allocation.shrink.38
 +dEQP-VK.renderpass.attachment_allocation.shrink.39
 +dEQP-VK.renderpass.attachment_allocation.shrink.40
 +dEQP-VK.renderpass.attachment_allocation.shrink.41
 +dEQP-VK.renderpass.attachment_allocation.shrink.42
 +dEQP-VK.renderpass.attachment_allocation.shrink.43
 +dEQP-VK.renderpass.attachment_allocation.shrink.44
 +dEQP-VK.renderpass.attachment_allocation.shrink.45
 +dEQP-VK.renderpass.attachment_allocation.shrink.46
 +dEQP-VK.renderpass.attachment_allocation.shrink.47
 +dEQP-VK.renderpass.attachment_allocation.shrink.48
 +dEQP-VK.renderpass.attachment_allocation.shrink.49
 +dEQP-VK.renderpass.attachment_allocation.shrink.50
 +dEQP-VK.renderpass.attachment_allocation.shrink.51
 +dEQP-VK.renderpass.attachment_allocation.shrink.52
 +dEQP-VK.renderpass.attachment_allocation.shrink.53
 +dEQP-VK.renderpass.attachment_allocation.shrink.54
 +dEQP-VK.renderpass.attachment_allocation.shrink.55
 +dEQP-VK.renderpass.attachment_allocation.shrink.56
 +dEQP-VK.renderpass.attachment_allocation.shrink.57
 +dEQP-VK.renderpass.attachment_allocation.shrink.58
 +dEQP-VK.renderpass.attachment_allocation.shrink.59
 +dEQP-VK.renderpass.attachment_allocation.shrink.60
 +dEQP-VK.renderpass.attachment_allocation.shrink.61
 +dEQP-VK.renderpass.attachment_allocation.shrink.62
 +dEQP-VK.renderpass.attachment_allocation.shrink.63
 +dEQP-VK.renderpass.attachment_allocation.shrink.64
 +dEQP-VK.renderpass.attachment_allocation.shrink.65
 +dEQP-VK.renderpass.attachment_allocation.shrink.66
 +dEQP-VK.renderpass.attachment_allocation.shrink.67
 +dEQP-VK.renderpass.attachment_allocation.shrink.68
 +dEQP-VK.renderpass.attachment_allocation.shrink.69
 +dEQP-VK.renderpass.attachment_allocation.shrink.70
 +dEQP-VK.renderpass.attachment_allocation.shrink.71
 +dEQP-VK.renderpass.attachment_allocation.shrink.72
 +dEQP-VK.renderpass.attachment_allocation.shrink.73
 +dEQP-VK.renderpass.attachment_allocation.shrink.74
 +dEQP-VK.renderpass.attachment_allocation.shrink.75
 +dEQP-VK.renderpass.attachment_allocation.shrink.76
 +dEQP-VK.renderpass.attachment_allocation.shrink.77
 +dEQP-VK.renderpass.attachment_allocation.shrink.78
 +dEQP-VK.renderpass.attachment_allocation.shrink.79
 +dEQP-VK.renderpass.attachment_allocation.shrink.80
 +dEQP-VK.renderpass.attachment_allocation.shrink.81
 +dEQP-VK.renderpass.attachment_allocation.shrink.82
 +dEQP-VK.renderpass.attachment_allocation.shrink.83
 +dEQP-VK.renderpass.attachment_allocation.shrink.84
 +dEQP-VK.renderpass.attachment_allocation.shrink.85
 +dEQP-VK.renderpass.attachment_allocation.shrink.86
 +dEQP-VK.renderpass.attachment_allocation.shrink.87
 +dEQP-VK.renderpass.attachment_allocation.shrink.88
 +dEQP-VK.renderpass.attachment_allocation.shrink.89
 +dEQP-VK.renderpass.attachment_allocation.shrink.90
 +dEQP-VK.renderpass.attachment_allocation.shrink.91
 +dEQP-VK.renderpass.attachment_allocation.shrink.92
 +dEQP-VK.renderpass.attachment_allocation.shrink.93
 +dEQP-VK.renderpass.attachment_allocation.shrink.94
 +dEQP-VK.renderpass.attachment_allocation.shrink.95
 +dEQP-VK.renderpass.attachment_allocation.shrink.96
 +dEQP-VK.renderpass.attachment_allocation.shrink.97
 +dEQP-VK.renderpass.attachment_allocation.shrink.98
 +dEQP-VK.renderpass.attachment_allocation.shrink.99
 +dEQP-VK.renderpass.attachment_allocation.roll.0
 +dEQP-VK.renderpass.attachment_allocation.roll.1
 +dEQP-VK.renderpass.attachment_allocation.roll.2
 +dEQP-VK.renderpass.attachment_allocation.roll.3
 +dEQP-VK.renderpass.attachment_allocation.roll.4
 +dEQP-VK.renderpass.attachment_allocation.roll.5
 +dEQP-VK.renderpass.attachment_allocation.roll.6
 +dEQP-VK.renderpass.attachment_allocation.roll.7
 +dEQP-VK.renderpass.attachment_allocation.roll.8
 +dEQP-VK.renderpass.attachment_allocation.roll.9
 +dEQP-VK.renderpass.attachment_allocation.roll.10
 +dEQP-VK.renderpass.attachment_allocation.roll.11
 +dEQP-VK.renderpass.attachment_allocation.roll.12
 +dEQP-VK.renderpass.attachment_allocation.roll.13
 +dEQP-VK.renderpass.attachment_allocation.roll.14
 +dEQP-VK.renderpass.attachment_allocation.roll.15
 +dEQP-VK.renderpass.attachment_allocation.roll.16
 +dEQP-VK.renderpass.attachment_allocation.roll.17
 +dEQP-VK.renderpass.attachment_allocation.roll.18
 +dEQP-VK.renderpass.attachment_allocation.roll.19
 +dEQP-VK.renderpass.attachment_allocation.roll.20
 +dEQP-VK.renderpass.attachment_allocation.roll.21
 +dEQP-VK.renderpass.attachment_allocation.roll.22
 +dEQP-VK.renderpass.attachment_allocation.roll.23
 +dEQP-VK.renderpass.attachment_allocation.roll.24
 +dEQP-VK.renderpass.attachment_allocation.roll.25
 +dEQP-VK.renderpass.attachment_allocation.roll.26
 +dEQP-VK.renderpass.attachment_allocation.roll.27
 +dEQP-VK.renderpass.attachment_allocation.roll.28
 +dEQP-VK.renderpass.attachment_allocation.roll.29
 +dEQP-VK.renderpass.attachment_allocation.roll.30
 +dEQP-VK.renderpass.attachment_allocation.roll.31
 +dEQP-VK.renderpass.attachment_allocation.roll.32
 +dEQP-VK.renderpass.attachment_allocation.roll.33
 +dEQP-VK.renderpass.attachment_allocation.roll.34
 +dEQP-VK.renderpass.attachment_allocation.roll.35
 +dEQP-VK.renderpass.attachment_allocation.roll.36
 +dEQP-VK.renderpass.attachment_allocation.roll.37
 +dEQP-VK.renderpass.attachment_allocation.roll.38
 +dEQP-VK.renderpass.attachment_allocation.roll.39
 +dEQP-VK.renderpass.attachment_allocation.roll.40
 +dEQP-VK.renderpass.attachment_allocation.roll.41
 +dEQP-VK.renderpass.attachment_allocation.roll.42
 +dEQP-VK.renderpass.attachment_allocation.roll.43
 +dEQP-VK.renderpass.attachment_allocation.roll.44
 +dEQP-VK.renderpass.attachment_allocation.roll.45
 +dEQP-VK.renderpass.attachment_allocation.roll.46
 +dEQP-VK.renderpass.attachment_allocation.roll.47
 +dEQP-VK.renderpass.attachment_allocation.roll.48
 +dEQP-VK.renderpass.attachment_allocation.roll.49
 +dEQP-VK.renderpass.attachment_allocation.roll.50
 +dEQP-VK.renderpass.attachment_allocation.roll.51
 +dEQP-VK.renderpass.attachment_allocation.roll.52
 +dEQP-VK.renderpass.attachment_allocation.roll.53
 +dEQP-VK.renderpass.attachment_allocation.roll.54
 +dEQP-VK.renderpass.attachment_allocation.roll.55
 +dEQP-VK.renderpass.attachment_allocation.roll.56
 +dEQP-VK.renderpass.attachment_allocation.roll.57
 +dEQP-VK.renderpass.attachment_allocation.roll.58
 +dEQP-VK.renderpass.attachment_allocation.roll.59
 +dEQP-VK.renderpass.attachment_allocation.roll.60
 +dEQP-VK.renderpass.attachment_allocation.roll.61
 +dEQP-VK.renderpass.attachment_allocation.roll.62
 +dEQP-VK.renderpass.attachment_allocation.roll.63
 +dEQP-VK.renderpass.attachment_allocation.roll.64
 +dEQP-VK.renderpass.attachment_allocation.roll.65
 +dEQP-VK.renderpass.attachment_allocation.roll.66
 +dEQP-VK.renderpass.attachment_allocation.roll.67
 +dEQP-VK.renderpass.attachment_allocation.roll.68
 +dEQP-VK.renderpass.attachment_allocation.roll.69
 +dEQP-VK.renderpass.attachment_allocation.roll.70
 +dEQP-VK.renderpass.attachment_allocation.roll.71
 +dEQP-VK.renderpass.attachment_allocation.roll.72
 +dEQP-VK.renderpass.attachment_allocation.roll.73
 +dEQP-VK.renderpass.attachment_allocation.roll.74
 +dEQP-VK.renderpass.attachment_allocation.roll.75
 +dEQP-VK.renderpass.attachment_allocation.roll.76
 +dEQP-VK.renderpass.attachment_allocation.roll.77
 +dEQP-VK.renderpass.attachment_allocation.roll.78
 +dEQP-VK.renderpass.attachment_allocation.roll.79
 +dEQP-VK.renderpass.attachment_allocation.roll.80
 +dEQP-VK.renderpass.attachment_allocation.roll.81
 +dEQP-VK.renderpass.attachment_allocation.roll.82
 +dEQP-VK.renderpass.attachment_allocation.roll.83
 +dEQP-VK.renderpass.attachment_allocation.roll.84
 +dEQP-VK.renderpass.attachment_allocation.roll.85
 +dEQP-VK.renderpass.attachment_allocation.roll.86
 +dEQP-VK.renderpass.attachment_allocation.roll.87
 +dEQP-VK.renderpass.attachment_allocation.roll.88
 +dEQP-VK.renderpass.attachment_allocation.roll.89
 +dEQP-VK.renderpass.attachment_allocation.roll.90
 +dEQP-VK.renderpass.attachment_allocation.roll.91
 +dEQP-VK.renderpass.attachment_allocation.roll.92
 +dEQP-VK.renderpass.attachment_allocation.roll.93
 +dEQP-VK.renderpass.attachment_allocation.roll.94
 +dEQP-VK.renderpass.attachment_allocation.roll.95
 +dEQP-VK.renderpass.attachment_allocation.roll.96
 +dEQP-VK.renderpass.attachment_allocation.roll.97
 +dEQP-VK.renderpass.attachment_allocation.roll.98
 +dEQP-VK.renderpass.attachment_allocation.roll.99
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.0
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.1
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.2
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.3
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.4
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.5
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.6
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.7
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.8
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.9
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.10
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.11
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.12
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.13
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.14
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.15
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.16
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.17
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.18
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.19
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.20
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.21
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.22
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.23
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.24
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.25
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.26
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.27
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.28
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.29
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.30
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.31
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.32
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.33
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.34
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.35
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.36
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.37
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.38
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.39
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.40
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.41
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.42
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.43
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.44
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.45
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.46
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.47
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.48
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.49
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.50
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.51
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.52
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.53
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.54
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.55
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.56
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.57
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.58
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.59
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.60
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.61
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.62
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.63
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.64
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.65
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.66
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.67
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.68
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.69
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.70
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.71
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.72
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.73
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.74
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.75
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.76
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.77
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.78
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.79
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.80
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.81
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.82
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.83
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.84
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.85
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.86
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.87
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.88
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.89
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.90
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.91
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.92
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.93
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.94
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.95
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.96
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.97
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.98
 +dEQP-VK.renderpass.attachment_allocation.grow_shrink.99
  dEQP-VK.ubo.2_level_array.std140.float_vertex
  dEQP-VK.ubo.2_level_array.std140.float_fragment
  dEQP-VK.ubo.2_level_array.std140.float_both